diff --git a/Data/H2_aug-cc-pvtz_RGIC_H.dat b/Data/H2_aug-cc-pvtz_RGIC_H.dat new file mode 100644 index 0000000..6d9479b --- /dev/null +++ b/Data/H2_aug-cc-pvtz_RGIC_H.dat @@ -0,0 +1,41 @@ +0.0 -1.0431147565 0.9878058186 +0.025 -1.0186492571 0.9754686481 +0.05 -0.9942771332 0.9747636548 +0.075 -0.9698988829 0.9756245606 +0.1 -0.9454933528 0.9768393728 +0.125 -0.9210575888 0.9779952481 +0.15 -0.8965955814 0.9789206846 +0.175 -0.8721140320 0.9795548455 +0.2 -0.8476203281 0.9798961535 +0.225 -0.8231214655 0.9799744684 +0.25 -0.7986234623 0.9798363490 +0.275 -0.7741310652 0.9795359345 +0.3 -0.7496476396 0.9791290530 +0.325 -0.7251751786 0.9786694135 +0.35 -0.7007143867 0.9782062348 +0.375 -0.6762648071 0.9777531617 +0.4 -0.6518249949 0.9774360931 +0.425 -0.6273926517 0.9771958398 +0.45 -0.6029648326 0.9770851955 +0.475 -0.5785380998 0.9771205233 +0.5 -0.5541086915 0.9773275573 +0.525 -0.5296726831 0.9776240811 +0.55 -0.5052261300 0.9781230603 +0.575 -0.4807652221 0.9787704939 +0.6 -0.4562864139 0.9795531986 +0.625 -0.4317865571 0.9804525852 +0.65 -0.4072630299 0.9814767116 +0.675 -0.3827138647 0.9824995987 +0.7 -0.3581378821 0.9836061673 +0.725 -0.3335348354 0.9846570548 +0.75 -0.3089055766 0.9856716894 +0.775 -0.2842522572 0.9865710275 +0.8 -0.2595785883 0.9872874133 +0.825 -0.2348901944 0.9877325105 +0.85 -0.2101951251 0.9877828388 +0.875 -0.1855046399 0.9873140134 +0.9 -0.1608344896 0.9861107454 +0.925 -0.1362072014 0.9838308139 +0.95 -0.1116567051 0.9798598249 +0.975 -0.0872399873 0.9727638669 +1.0 -0.0630914866 0.9537074303 diff --git a/Data/H2_aug-cc-pvtz_RGIC_RMFL20.dat b/Data/H2_aug-cc-pvtz_RGIC_RMFL20.dat new file mode 100644 index 0000000..228c096 --- /dev/null +++ b/Data/H2_aug-cc-pvtz_RGIC_RMFL20.dat @@ -0,0 +1,41 @@ +0.0 -1.1369038731 1.0621786440 +0.025 -1.1108965108 1.0429618064 +0.05 -1.0850934394 1.0379487597 +0.075 -1.0593670400 1.0351284732 +0.1 -1.0336859128 1.0329908698 +0.125 -1.0080414483 1.0310056899 +0.15 -0.9824336663 1.0289591181 +0.175 -0.9568659505 1.0267737917 +0.2 -0.9313426323 1.0244390323 +0.225 -0.9058677851 1.0219779768 +0.25 -0.8804446207 1.0194302036 +0.275 -0.8550752161 1.0168419276 +0.3 -0.8297604280 1.0142602903 +0.325 -0.8044999186 1.0117300142 +0.35 -0.7792922449 1.0092914773 +0.375 -0.7541349840 1.0069796639 +0.4 -0.7290248777 1.0048236272 +0.425 -0.7039579843 1.0028463445 +0.45 -0.6789298329 1.0010736314 +0.475 -0.6539355753 0.9994897169 +0.5 -0.6289701332 0.9981266045 +0.525 -0.6040283400 0.9969751615 +0.55 -0.5791050776 0.9960299030 +0.575 -0.5541954072 0.9952802825 +0.6 -0.5292946959 0.9947108073 +0.625 -0.5043987405 0.9943010624 +0.65 -0.4795038916 0.9940256164 +0.675 -0.4546071796 0.9938537901 +0.7 -0.4297064491 0.9937492462 +0.725 -0.4048005076 0.9936693058 +0.75 -0.3798892995 0.9935638050 +0.775 -0.3549741193 0.9933731833 +0.8 -0.3300578902 0.9930253088 +0.825 -0.3051455450 0.9924302036 +0.85 -0.2802445770 0.9914711506 +0.875 -0.2553658847 0.9899890809 +0.9 -0.2305251527 0.9877530483 +0.925 -0.2057453176 0.9843974129 +0.95 -0.1810615706 0.9792620214 +0.975 -0.1565340379 0.9708310416 +1.0 -0.1323082365 0.9489385886 diff --git a/Data/H2_aug-cc-pvtz_RGIC_RVWN5.dat b/Data/H2_aug-cc-pvtz_RGIC_RVWN5.dat new file mode 100644 index 0000000..543d555 --- /dev/null +++ b/Data/H2_aug-cc-pvtz_RGIC_RVWN5.dat @@ -0,0 +1,41 @@ +0.0 -1.1369038731 1.0531383495 +0.025 -1.1109020069 1.0341741670 +0.05 -1.0851148276 1.0294166680 +0.075 -1.0594139011 1.0268465425 +0.1 -1.0337671211 1.0249513341 +0.125 -1.0081652735 1.0231993069 +0.15 -0.9826078667 1.0213756139 +0.175 -0.9570978567 1.0194021791 +0.2 -0.9316392214 1.0172678491 +0.225 -0.9062357470 1.0149954542 +0.25 -0.8808904174 1.0126243728 +0.275 -0.8556051342 1.0102006782 +0.3 -0.8303806277 1.0077713932 +0.325 -0.8052164778 1.0053811222 +0.35 -0.7801112009 1.0030701135 +0.375 -0.7550623724 1.0008732062 +0.4 -0.7300667691 0.9988192933 +0.425 -0.7051205193 0.9969311809 +0.45 -0.6802192555 0.9952280354 +0.475 -0.6553582640 0.9937133407 +0.5 -0.6305326308 0.9923995136 +0.525 -0.6057373823 0.9912837548 +0.55 -0.5809676195 0.9903604634 +0.575 -0.5562186475 0.9896190038 +0.6 -0.5314861004 0.9890438234 +0.625 -0.5067660640 0.9886144727 +0.65 -0.4820551978 0.9883055056 +0.675 -0.4573508601 0.9880862378 +0.7 -0.4326512418 0.9879203201 +0.725 -0.4079555151 0.9877650240 +0.75 -0.3832640078 0.9875700472 +0.775 -0.3585784195 0.9872755215 +0.8 -0.3339021031 0.9868087253 +0.825 -0.3092404535 0.9860786639 +0.85 -0.2846014702 0.9849669731 +0.875 -0.2599966210 0.9833119557 +0.9 -0.2354422573 0.9808783287 +0.925 -0.2109621437 0.9772927667 +0.95 -0.1865925977 0.9718797505 +0.975 -0.1623955416 0.9630830682 +1.0 -0.1385210277 0.9403975055 diff --git a/Data/H2_aug-cc-pvtz_RS51_H.dat b/Data/H2_aug-cc-pvtz_RS51_H.dat new file mode 100644 index 0000000..1cb29e8 --- /dev/null +++ b/Data/H2_aug-cc-pvtz_RS51_H.dat @@ -0,0 +1,41 @@ +0.0 -1.0431147565 0.7155567710 -0.5511838531 +0.025 -1.0252519558 0.7195754485 -0.5397999411 +0.05 -1.0070678917 0.7356826708 -0.5287938083 +0.075 -0.9884528857 0.7536928150 -0.5181223295 +0.1 -0.9693794398 0.7722270639 -0.5077980854 +0.125 -0.9498423080 0.7907128666 -0.4978348179 +0.15 -0.9298467344 0.8088606052 -0.4882416633 +0.175 -0.9094035323 0.8265046475 -0.4790311460 +0.2 -0.8885263865 0.8435681654 -0.4702053100 +0.225 -0.8672302141 0.8600255256 -0.4617600692 +0.25 -0.8455301509 0.8758734198 -0.4536909511 +0.275 -0.8234409623 0.8911550506 -0.4459758988 +0.3 -0.8009767177 0.9058912815 -0.4386072522 +0.325 -0.7781506596 0.9201175313 -0.4315707191 +0.35 -0.7549751818 0.9338533908 -0.4248627795 +0.375 -0.7314618610 0.9471462715 -0.4184585501 +0.4 -0.7076215491 0.9600186347 -0.4123511497 +0.425 -0.6834644581 0.9724939695 -0.4065306780 +0.45 -0.6590002615 0.9845917674 -0.4009885400 +0.475 -0.6342381940 0.9963276093 -0.3957174233 +0.5 -0.6091871479 1.0077133070 -0.3907112487 +0.525 -0.5838557661 1.0187570387 -0.3859651086 +0.55 -0.5582525322 1.0294634383 -0.3814752080 +0.575 -0.5323858590 1.0398336131 -0.3772388135 +0.6 -0.5062641774 1.0498650670 -0.3732542174 +0.625 -0.4798960277 1.0595515084 -0.3695207180 +0.65 -0.4532901578 1.0688825190 -0.3660386195 +0.675 -0.4264556299 1.0778430494 -0.3628092476 +0.7 -0.3994019428 1.0864126888 -0.3598349821 +0.725 -0.3721391753 1.0945646319 -0.3571192991 +0.75 -0.3446781615 1.1022642355 -0.3546668010 +0.775 -0.3170307109 1.1094669741 -0.3524832063 +0.8 -0.2892098965 1.1161153835 -0.3505753142 +0.825 -0.2612304459 1.1221341706 -0.3489510391 +0.85 -0.2331092953 1.1274219575 -0.3476196815 +0.875 -0.2048664187 1.1318367181 -0.3465925988 +0.9 -0.1765261493 1.1351685137 -0.3458843353 +0.925 -0.1481194884 1.1370824502 -0.3455143412 +0.95 -0.1196887056 1.1369743702 -0.3455105706 +0.975 -0.0912988411 1.1334466981 -0.3459359195 +1.0 -0.0630914866 1.1177102789 -0.3468559985 diff --git a/Data/H2st_aug-cc-pvtz_RGIC_H.dat b/Data/H2st_aug-cc-pvtz_RGIC_H.dat new file mode 100644 index 0000000..4c4e5cd --- /dev/null +++ b/Data/H2st_aug-cc-pvtz_RGIC_H.dat @@ -0,0 +1,41 @@ +0.0 -0.9001425285 0.2038778858 +0.025 -0.8950431675 0.2040656884 +0.05 -0.8899395252 0.2042208280 +0.075 -0.8848323849 0.2043459495 +0.1 -0.8797224644 0.2044436745 +0.125 -0.8746104161 0.2045165916 +0.15 -0.8694968281 0.2045672510 +0.175 -0.8643822256 0.2045981597 +0.2 -0.8592670714 0.2046117777 +0.225 -0.8541517675 0.2046105147 +0.25 -0.8490366565 0.2045967270 +0.275 -0.8439220226 0.2045727136 +0.3 -0.8388080934 0.2045407133 +0.325 -0.8336950413 0.2045028999 +0.35 -0.8285829851 0.2044613777 +0.375 -0.8234719920 0.2044181762 +0.4 -0.8183620796 0.2043752427 +0.425 -0.8132532177 0.2043344349 +0.45 -0.8081453311 0.2042975107 +0.475 -0.8030383017 0.2042661160 +0.5 -0.7979319720 0.2042417702 +0.525 -0.7928261483 0.2042258473 +0.55 -0.7877206046 0.2042195526 +0.575 -0.7826150869 0.2042238941 +0.6 -0.7775093192 0.2042396447 +0.625 -0.7724030093 0.2042672953 +0.65 -0.7672958569 0.2043069921 +0.675 -0.7621875634 0.2043584560 +0.7 -0.7570778433 0.2044208730 +0.725 -0.7519664399 0.2044927464 +0.75 -0.7468531443 0.2045716892 +0.775 -0.7417378215 0.2046541289 +0.8 -0.7366204451 0.2047348692 +0.825 -0.7315011454 0.2048064160 +0.85 -0.7263802799 0.2048578864 +0.875 -0.7212585385 0.2048731218 +0.9 -0.7161371157 0.2048271279 +0.925 -0.7110180131 0.2046784761 +0.95 -0.7059046525 0.2043496951 +0.975 -0.7008034514 0.2036560791 +1.0 -0.6957315749 0.2013111107 diff --git a/Data/H2st_aug-cc-pvtz_RS51_H.dat b/Data/H2st_aug-cc-pvtz_RS51_H.dat new file mode 100644 index 0000000..c8fa03b --- /dev/null +++ b/Data/H2st_aug-cc-pvtz_RS51_H.dat @@ -0,0 +1,41 @@ +0.0 -0.9001425285 0.1951387525 -0.3886113671 +0.025 -0.8952571506 0.1956922279 -0.3885095862 +0.05 -0.8903578997 0.1962484796 -0.3884307931 +0.075 -0.8854447144 0.1968068691 -0.3883754612 +0.1 -0.8805175492 0.1973667661 -0.3883440644 +0.125 -0.8755763743 0.1979275363 -0.3883370803 +0.15 -0.8706211759 0.1984885336 -0.3883549923 +0.175 -0.8656519566 0.1990490925 -0.3883982918 +0.2 -0.8606687359 0.1996085215 -0.3884674796 +0.225 -0.8556715511 0.2001660974 -0.3885630681 +0.25 -0.8506604580 0.2007210585 -0.3886855816 +0.275 -0.8456355319 0.2012725983 -0.3888355583 +0.3 -0.8405968690 0.2018198582 -0.3890135512 +0.325 -0.8355445876 0.2023619206 -0.3892201291 +0.35 -0.8304788299 0.2028977998 -0.3894558776 +0.375 -0.8253997635 0.2034264328 -0.3897214005 +0.4 -0.8203075838 0.2039466687 -0.3900173206 +0.425 -0.8152025162 0.2044572565 -0.3903442806 +0.45 -0.8100848186 0.2049568304 -0.3907029448 +0.475 -0.8049547849 0.2054438938 -0.3910939996 +0.5 -0.7998127478 0.2059167990 -0.3915181549 +0.525 -0.7946590839 0.2063737242 -0.3919761452 +0.55 -0.7894942174 0.2068126449 -0.3924687312 +0.575 -0.7843186259 0.2072312991 -0.3929967003 +0.6 -0.7791328474 0.2076271451 -0.3935608687 +0.625 -0.7739374870 0.2079973076 -0.3941620822 +0.65 -0.7687332269 0.2083385098 -0.3948012188 +0.675 -0.7635208368 0.2086469858 -0.3954791901 +0.7 -0.7583011880 0.2089183649 -0.3961969442 +0.725 -0.7530752703 0.2091475164 -0.3969554697 +0.75 -0.7478442132 0.2093283355 -0.3977557995 +0.775 -0.7426093143 0.2094534400 -0.3985990174 +0.8 -0.7373720759 0.2095137245 -0.3994862660 +0.825 -0.7321342565 0.2094976786 -0.4004187579 +0.85 -0.7268979432 0.2093902867 -0.4013977926 +0.875 -0.7216656608 0.2091711324 -0.4024247826 +0.9 -0.7164405464 0.2088108292 -0.4035012976 +0.925 -0.7112266559 0.2082634118 -0.4046291470 +0.95 -0.7060295810 0.2074467170 -0.4058105604 +0.975 -0.7008580281 0.2061711813 -0.4070486853 +1.0 -0.6957315749 0.2031452685 -0.4083501888 diff --git a/Data/HNO_aug_cc-pvdz_RS51_H.dat b/Data/HNO_aug_cc-pvdz_RS51_H.dat new file mode 100644 index 0000000..93500d3 --- /dev/null +++ b/Data/HNO_aug_cc-pvdz_RS51_H.dat @@ -0,0 +1,41 @@ +0.0 -128.4380077263 0.0632158033 -13.5779306442 +0.025 -128.4363747033 0.0674282790 -13.5767080958 +0.05 -128.4346364043 0.0716374782 -13.5755289597 +0.075 -128.4327929133 0.0758433976 -13.5743925983 +0.1 -128.4308443142 0.0800460434 -13.5732983988 +0.125 -128.4287906904 0.0842454206 -13.5722457582 +0.15 -128.4266321252 0.0884415266 -13.5712340694 +0.175 -128.4243687016 0.0926343478 -13.5702626988 +0.2 -128.4220005026 0.0968238593 -13.5693309515 +0.225 -128.4195276109 0.1010100342 -13.5684380343 +0.25 -128.4169501095 0.1051928654 -13.5675830411 +0.275 -128.4142680813 0.1093723714 -13.5667650174 +0.3 -128.4114816094 0.1135484335 -13.5659831983 +0.325 -128.4085907770 0.1177204064 -13.5652372885 +0.35 -128.4055956676 0.1218876520 -13.5645271075 +0.375 -128.4024963654 0.1260559385 -13.5638818492 +0.4 -128.3992929533 0.1302185583 -13.5632528023 +0.425 -128.3959855159 0.1343777595 -13.5626605988 +0.45 -128.3925741377 0.1385335765 -13.5621047572 +0.475 -128.3890589033 0.1426860067 -13.5615849611 +0.5 -128.3854398978 0.1468350415 -13.5611010260 +0.525 -128.3817172066 0.1509806713 -13.5606528477 +0.55 -128.3778909152 0.1551228862 -13.5602403740 +0.575 -128.3739611097 0.1592616758 -13.5598635921 +0.6 -128.3699278766 0.1633970293 -13.5595225241 +0.625 -128.3657913026 0.1675289359 -13.5592172232 +0.65 -128.3615514753 0.1716573848 -13.5589477677 +0.675 -128.3572084825 0.1757823678 -13.5587142480 +0.7 -128.3527624129 0.1799038843 -13.5585167406 +0.725 -128.3482133559 0.1840219480 -13.5583552749 +0.75 -128.3435614017 0.1881365534 -13.5582299298 +0.775 -128.3388066417 0.1922453830 -13.5581355867 +0.8 -128.3339491683 0.1963532599 -13.5580746088 +0.825 -128.3289890756 0.2004556821 -13.5580643308 +0.85 -128.3239264588 0.2045536196 -13.5580949354 +0.875 -128.3187614153 0.2086479424 -13.5581615515 +0.9 -128.3134940449 0.2127393267 -13.5582591928 +0.925 -128.3081244497 0.2168276432 -13.5583833027 +0.95 -128.3026527338 0.2209084814 -13.5585624338 +0.975 -128.2970790058 0.2249867707 -13.5587747963 +1.0 -128.2914033773 0.2290598177 -13.5590287129 diff --git a/Data/He_aug-cc-pvtz_RGIC_H.dat b/Data/He_aug-cc-pvtz_RGIC_H.dat new file mode 100644 index 0000000..a58f4f5 --- /dev/null +++ b/Data/He_aug-cc-pvtz_RGIC_H.dat @@ -0,0 +1,41 @@ +0.0 -2.7231721043 2.1065240676 +0.025 -2.6712358016 2.0568231260 +0.05 -2.6201706217 2.0303554598 +0.075 -2.5696400005 2.0133688396 +0.1 -2.5194474020 2.0029785988 +0.125 -2.4694500936 1.9975195543 +0.15 -2.4195406122 1.9957787171 +0.175 -2.3696384909 1.9967883892 +0.2 -2.3196852421 1.9997472034 +0.225 -2.2696407104 2.0039842650 +0.25 -2.2194801162 2.0089411396 +0.275 -2.1691914951 2.0141545070 +0.3 -2.1187733926 2.0192451925 +0.325 -2.0682327440 2.0239169744 +0.35 -2.0175829018 2.0279509570 +0.375 -1.9668417901 2.0311997333 +0.4 -1.9160301790 2.0335825251 +0.425 -1.8651700762 2.0350805082 +0.45 -1.8142832362 2.0357320069 +0.475 -1.7633897945 2.0356274190 +0.5 -1.7125070324 2.0349037477 +0.525 -1.6616482857 2.0337386028 +0.55 -1.6108220117 2.0323434867 +0.575 -1.5600310350 2.0309561279 +0.6 -1.5092720007 2.0298341108 +0.625 -1.4585350710 2.0292314000 +0.65 -1.4078039138 2.0294170594 +0.675 -1.3570560528 2.0306091847 +0.7 -1.3062636539 2.0330074382 +0.725 -1.2553948781 2.0367361321 +0.75 -1.2044159383 2.0418205164 +0.775 -1.1532940664 2.0481455417 +0.8 -1.1020016616 2.0554020483 +0.825 -1.0505219881 2.0630155281 +0.85 -0.9988569531 2.0700155651 +0.875 -0.9470377395 2.0750319249 +0.9 -0.8951395682 2.0759052951 +0.925 -0.8433028299 2.0694822640 +0.95 -0.7917653981 2.0509717353 +0.975 -0.7409195732 2.0123718785 +1.0 -0.6914654075 1.9326438770 diff --git a/Data/He_aug-cc-pvtz_RGIC_RMFL20.dat b/Data/He_aug-cc-pvtz_RGIC_RMFL20.dat new file mode 100644 index 0000000..d9c8012 --- /dev/null +++ b/Data/He_aug-cc-pvtz_RGIC_RMFL20.dat @@ -0,0 +1,41 @@ +0.0 -2.8343687383 2.2094284956 +0.025 -2.7803316477 2.1472387245 +0.05 -2.7273110379 2.1162248720 +0.075 -2.6748991990 2.0960544759 +0.1 -2.6228771742 2.0831328201 +0.125 -2.5710906242 2.0755236993 +0.15 -2.5194251441 2.0718871225 +0.175 -2.4677958546 2.0711775619 +0.2 -2.4161414111 2.0725345226 +0.225 -2.3644198372 2.0752605239 +0.25 -2.3126052590 2.0787668264 +0.275 -2.2606851442 2.0825679569 +0.3 -2.2086578622 2.0862720977 +0.325 -2.1565304662 2.0895729078 +0.35 -2.1043166508 2.0922429031 +0.375 -2.0520348538 2.0941277946 +0.4 -1.9997064929 2.0951412770 +0.425 -1.9473543292 2.0952599452 +0.45 -1.8950009588 2.0945181334 +0.475 -1.8426674357 2.0930025297 +0.5 -1.7903720337 2.0908464262 +0.525 -1.7381291584 2.0882234523 +0.55 -1.6859484233 2.0853405979 +0.575 -1.6338339127 2.0824303059 +0.6 -1.5817836568 2.0797411163 +0.625 -1.5297893589 2.0775269965 +0.65 -1.4778364211 2.0760387730 +0.675 -1.4259043398 2.0754864824 +0.7 -1.3739675472 2.0760556083 +0.725 -1.3219968292 2.0778534774 +0.75 -1.2699614579 2.0808849124 +0.775 -1.2178322487 2.0850104370 +0.8 -1.1655858120 2.0898918367 +0.825 -1.1132103735 2.0949201314 +0.85 -1.0607136968 2.0991177915 +0.875 -1.0081339023 2.1009696223 +0.9 -0.9555544693 2.0983385166 +0.925 -0.9031257600 2.0879624293 +0.95 -0.8510980697 2.0649225391 +0.975 -0.7998805135 2.0209521939 +1.0 -0.7502038902 1.9337369758 diff --git a/Data/He_aug-cc-pvtz_RGIC_RVWN5.dat b/Data/He_aug-cc-pvtz_RGIC_RVWN5.dat new file mode 100644 index 0000000..dd23a56 --- /dev/null +++ b/Data/He_aug-cc-pvtz_RGIC_RVWN5.dat @@ -0,0 +1,41 @@ +0.0 -2.8343687383 2.1983950218 +0.025 -2.7803383346 2.1365477821 +0.05 -2.7273369976 2.1058716800 +0.075 -2.6749559196 2.0860369707 +0.1 -2.6229751268 2.0734508817 +0.125 -2.5712393235 2.0661782652 +0.15 -2.5196331969 2.0628798148 +0.175 -2.4680710022 2.0625103027 +0.2 -2.4164905665 2.0642093578 +0.225 -2.3648491206 2.0672794925 +0.25 -2.3131200313 2.0711317672 +0.275 -2.2612900399 2.0752804274 +0.3 -2.2093568218 2.0793332825 +0.325 -2.1573267695 2.0829835280 +0.35 -2.1052129503 2.0860031303 +0.375 -2.0530332093 2.0882371662 +0.4 -2.0008084077 2.0895986084 +0.425 -1.9485607876 2.0900632350 +0.45 -1.8963124660 2.0896644571 +0.475 -1.8440840606 2.0884879161 +0.5 -1.7918934551 2.0866657114 +0.525 -1.7397547148 2.0843701029 +0.55 -1.6876771690 2.0818064961 +0.575 -1.6356646796 2.0792054837 +0.6 -1.5837151251 2.0768134371 +0.625 -1.5318201372 2.0748817451 +0.65 -1.4799651394 2.0736582325 +0.675 -1.4281297581 2.0733490540 +0.7 -1.3762886832 2.0741353763 +0.725 -1.3244131090 2.0761191754 +0.75 -1.2724728959 2.0792988043 +0.775 -1.2204396629 2.0835269591 +0.8 -1.1682910818 2.0884559519 +0.825 -1.1160167505 2.0934653095 +0.85 -1.0636261788 2.0975634409 +0.875 -1.0111596888 2.0992187296 +0.9 -0.9587035219 2.0962703402 +0.925 -0.9064115177 2.0854282913 +0.95 -0.8545384402 2.0617299424 +0.975 -0.8034995608 2.0168181246 +1.0 -0.7540369540 1.9279289231 diff --git a/Data/He_aug-cc-pvtz_RS51_H.dat b/Data/He_aug-cc-pvtz_RS51_H.dat new file mode 100644 index 0000000..8229b85 --- /dev/null +++ b/Data/He_aug-cc-pvtz_RS51_H.dat @@ -0,0 +1,41 @@ +0.0 -2.7231721043 1.1720679246 -0.8527649469 +0.025 -2.6937830331 1.1861140117 -0.8347138191 +0.05 -2.6637619300 1.2165738729 -0.8169170163 +0.075 -2.6329185597 1.2514278031 -0.7991464620 +0.1 -2.6011684087 1.2889615935 -0.7813398896 +0.125 -2.5684542094 1.3284796308 -0.7634733934 +0.15 -2.5347314343 1.3695924385 -0.7455448224 +0.175 -2.4999630681 1.4120830605 -0.7275414979 +0.2 -2.4641172094 1.4557688446 -0.7094733943 +0.225 -2.4271658077 1.5005095006 -0.6913517369 +0.25 -2.3890839372 1.5461904358 -0.6731889469 +0.275 -2.3498493526 1.5927112446 -0.6549996541 +0.3 -2.3094422059 1.6399805366 -0.6368004272 +0.325 -2.2678448595 1.6879128742 -0.6186094974 +0.35 -2.2250417614 1.7364268069 -0.6004465419 +0.375 -2.1810193617 1.7854435234 -0.5823325234 +0.4 -2.1357660589 1.8348858646 -0.5642895760 +0.425 -2.0892721680 1.8846775535 -0.5463409241 +0.45 -2.0415299065 1.9347425520 -0.5285108267 +0.475 -1.9925333960 1.9850044811 -0.5108245406 +0.5 -1.9422786773 2.0353860456 -0.4933083009 +0.525 -1.8907637413 2.0858084117 -0.4759893203 +0.55 -1.8379885762 2.1362048725 -0.4588900835 +0.575 -1.7839552363 2.1864577664 -0.4420531182 +0.6 -1.7286679322 2.2364982074 -0.4255009268 +0.625 -1.6721331578 2.2862319597 -0.4092651322 +0.65 -1.6143598557 2.3355571411 -0.3933787747 +0.675 -1.5553596362 2.3843618051 -0.3778765260 +0.7 -1.4951470661 2.4325207866 -0.3627949672 +0.725 -1.4337400488 2.4798914817 -0.3481729558 +0.75 -1.3711603282 2.5263080734 -0.3340521126 +0.775 -1.3074341626 2.5715734729 -0.3204774599 +0.8 -1.2425932415 2.6154477635 -0.3074982551 +0.825 -1.1766759573 2.6576309052 -0.2951691285 +0.85 -1.1097292220 2.6977355682 -0.2835517015 +0.875 -1.0418111613 2.7352421552 -0.2727169395 +0.9 -0.9729953179 2.7694187001 -0.2627487167 +0.925 -0.9033776952 2.7991453564 -0.2537545123 +0.95 -0.8330899107 2.8226125976 -0.2458571418 +0.975 -0.7623288702 2.8360851521 -0.2392447739 +1.0 -0.6914654075 2.8253080179 -0.2342297196 diff --git a/Data/He_d-aug-cc-pvqz_RS51_H.dat b/Data/He_d-aug-cc-pvqz_RS51_H.dat new file mode 100644 index 0000000..8fe7e0c --- /dev/null +++ b/Data/He_d-aug-cc-pvqz_RS51_H.dat @@ -0,0 +1,41 @@ +0.0 -2.7235044920 1.0617737366 -0.8332539121 +0.025 -2.6967706674 1.0893316613 -0.8138715796 +0.05 -2.6689522189 1.1367824680 -0.7944692150 +0.075 -2.6399112101 1.1867427829 -0.7750517905 +0.1 -2.6096058990 1.2377894623 -0.7556411804 +0.125 -2.5780172403 1.2893402596 -0.7362659066 +0.15 -2.5451369292 1.3410843937 -0.7169546574 +0.175 -2.5109625823 1.3928461269 -0.6977325087 +0.2 -2.4754950630 1.4445325387 -0.6786197312 +0.225 -2.4387368268 1.4961029728 -0.6596321566 +0.25 -2.4006909160 1.5475475464 -0.6407822915 +0.275 -2.3613604058 1.5988719637 -0.6220806061 +0.3 -2.3207481535 1.6500874531 -0.6035366754 +0.325 -2.2788567419 1.7012046511 -0.5851600384 +0.35 -2.2356885333 1.7522302932 -0.5669607584 +0.375 -2.1912457838 1.8031657620 -0.5489497431 +0.4 -2.1455307840 1.8540067218 -0.5311389354 +0.425 -2.0985460063 1.9047431682 -0.5135414807 +0.45 -2.0502942538 1.9553593880 -0.4961718880 +0.475 -2.0007788053 2.0058337134 -0.4790461231 +0.5 -1.9500035615 2.0561382839 -0.4621816135 +0.525 -1.8979731935 2.1062389366 -0.4455972236 +0.55 -1.8446932983 2.1560950534 -0.4293132801 +0.575 -1.7901705685 2.2056590995 -0.4133516766 +0.6 -1.7344129784 2.2548757290 -0.3977360328 +0.625 -1.6774299982 2.3036804775 -0.3824918867 +0.65 -1.6192328417 2.3519980442 -0.3676469214 +0.675 -1.5598347639 2.3997400580 -0.3532312464 +0.7 -1.4992514212 2.4468021130 -0.3392777580 +0.725 -1.4375013200 2.4930597525 -0.3258226082 +0.75 -1.3746063832 2.5383629273 -0.3129058176 +0.775 -1.3105926822 2.5825281889 -0.3005720836 +0.8 -1.2454914035 2.6253274001 -0.2888718677 +0.825 -1.1793401636 2.6664708156 -0.2778629118 +0.85 -1.1121848541 2.7055805673 -0.2676124367 +0.875 -1.0440823472 2.7421467018 -0.2582004956 +0.9 -0.9751046782 2.7754487243 -0.2497254495 +0.925 -0.9053460130 2.8044003110 -0.2423139227 +0.95 -0.8349356065 2.8271885431 -0.2361427155 +0.975 -0.7640669802 2.8401507965 -0.8527150939 +1.0 -0.6931066643 2.8285872114 -0.2315122291 diff --git a/Data/w/H2_aug-cc-pvtz_RCC_H.dat b/Data/w/H2_aug-cc-pvtz_RCC_H.dat new file mode 100644 index 0000000..24a75b4 --- /dev/null +++ b/Data/w/H2_aug-cc-pvtz_RCC_H.dat @@ -0,0 +1,23 @@ +0 -1.0431147565 0.9878058186 +0.0151515 -1.0228608674 0.9790772163 +0.030303 -1.0025530322 0.9818170827 +0.0454545 -0.9820929994 0.9864844270 +0.0606061 -0.9614519477 0.9918415908 +0.0757576 -0.9406195040 0.9973963907 +0.0909091 -0.9195931100 1.0029143619 +0.106061 -0.8983741661 1.0082595107 +0.121212 -0.8769688900 1.0133635096 +0.136364 -0.8553802564 1.0181974572 +0.151515 -0.8336173242 1.0227552610 +0.166667 -0.8116835190 1.0270470337 +0.181818 -0.7895878359 1.0310905343 +0.19697 -0.7673330936 1.0349092278 +0.212121 -0.7449275152 1.0385272809 +0.227273 -0.7223729504 1.0419696885 +0.242424 -0.6996767092 1.0452392790 +0.257576 -0.6768397002 1.0484170845 +0.272727 -0.6538684309 1.0514615840 +0.287879 -0.6307630327 1.0544087359 +0.30303 -0.6075294337 1.0572709493 +0.318182 -0.5841672232 1.0600584867 +0.333333 -0.5606819804 1.0627779117 diff --git a/Data/w/H2_aug-cc-pvtz_RCC_RMFL20.dat b/Data/w/H2_aug-cc-pvtz_RCC_RMFL20.dat new file mode 100644 index 0000000..224d803 --- /dev/null +++ b/Data/w/H2_aug-cc-pvtz_RCC_RMFL20.dat @@ -0,0 +1,23 @@ +0 -1.1369038731 1.0621786440 +0.0151515 -1.1152768488 1.0470278620 +0.030303 -1.0936995596 1.0457550359 +0.0454545 -1.0720491534 1.0470099541 +0.0606061 -1.0502875093 1.0492703088 +0.0757576 -1.0283989803 1.0519427316 +0.0909091 -1.0063771906 1.0547411017 +0.106061 -0.9842202662 1.0575175170 +0.121212 -0.9619314557 1.0601960267 +0.136364 -0.9395106643 1.0627419891 +0.151515 -0.9169641795 1.0651437463 +0.166667 -0.8942925500 1.0674039591 +0.181818 -0.8715022404 1.0695322523 +0.19697 -0.8485935253 1.0715426256 +0.212121 -0.8255724557 1.0734499523 +0.227273 -0.8024387433 1.0752695618 +0.242424 -0.7791979206 1.0770153002 +0.257576 -0.7558491504 1.0786999203 +0.272727 -0.7323975309 1.0803338276 +0.287879 -0.7088418077 1.0819342298 +0.30303 -0.6851868005 1.0834817608 +0.318182 -0.6614310125 1.0850060186 +0.333333 -0.6375791683 1.0864999229 diff --git a/Data/w/H2_aug-cc-pvtz_RCC_RVWN5.dat b/Data/w/H2_aug-cc-pvtz_RCC_RVWN5.dat new file mode 100644 index 0000000..c894ed1 --- /dev/null +++ b/Data/w/H2_aug-cc-pvtz_RCC_RVWN5.dat @@ -0,0 +1,23 @@ +0 -1.1369038731 1.0531383495 +0.0151515 -1.1152768271 1.0382091382 +0.030303 -1.0936993226 1.0371551945 +0.0454545 -1.0720482884 1.0386202160 +0.0606061 -1.0502854033 1.0410809589 +0.0757576 -1.0283948407 1.0439438044 +0.0909091 -1.0063700666 1.0469226577 +0.106061 -0.9842090684 1.0498698133 +0.121212 -0.9619149750 1.0527096113 +0.136364 -0.9394875864 1.0554078068 +0.151515 -0.9169331015 1.0579531346 +0.166667 -0.8942519907 1.0603486948 +0.181818 -0.8714506538 1.0626045015 +0.19697 -0.8485293081 1.0647349511 +0.212121 -0.8254939598 1.0667552457 +0.227273 -0.8023442801 1.0686810338 +0.242424 -0.7790857732 1.0705264114 +0.257576 -0.7557175767 1.0723043679 +0.272727 -0.7322447750 1.0740254814 +0.287879 -0.7086661018 1.0756986425 +0.30303 -0.6849863772 1.0773300233 +0.318182 -0.6612041051 1.0789238836 +0.333333 -0.6373240237 1.0804816679 diff --git a/Data/w/H2_aug-cc-pvtz_RS51_H.dat b/Data/w/H2_aug-cc-pvtz_RS51_H.dat new file mode 100644 index 0000000..0472a4a --- /dev/null +++ b/Data/w/H2_aug-cc-pvtz_RS51_H.dat @@ -0,0 +1,23 @@ +0 -1.0431147565 0.7155567710 +0.0151515 -1.0268859345 0.7183182711 +0.030303 -1.0104047728 0.7325463751 +0.0454545 -0.9935746254 0.7486932429 +0.0606061 -0.9763688634 0.7654718695 +0.0757576 -0.9587801109 0.7823363345 +0.0909091 -0.9408096736 0.7990165147 +0.106061 -0.9224634746 0.8153407494 +0.121212 -0.9037523366 0.8312175931 +0.136364 -0.8846846157 0.8466060240 +0.151515 -0.8652741408 0.8614914617 +0.166667 -0.8455297130 0.8758737311 +0.181818 -0.8254650169 0.8897887064 +0.19697 -0.8050880035 0.9032512103 +0.212121 -0.7844113993 0.9162860179 +0.227273 -0.7634419305 0.9289103403 +0.242424 -0.7421912486 0.9411575493 +0.257576 -0.7206649176 0.9530481585 +0.272727 -0.6988736798 0.9645997416 +0.287879 -0.6768221551 0.9758302401 +0.30303 -0.6545204071 0.9867518683 +0.318182 -0.6319723640 0.9973771187 +0.333333 -0.6091876517 1.0077130827 diff --git a/Data/w/H2_aug-cc-pvtz_RS51_RVWN5.dat b/Data/w/H2_aug-cc-pvtz_RS51_RVWN5.dat new file mode 100644 index 0000000..31c43a2 --- /dev/null +++ b/Data/w/H2_aug-cc-pvtz_RS51_RVWN5.dat @@ -0,0 +1,23 @@ +0 -1.1369038731 0.7770528095 +0.0151515 -1.1193609803 0.7735278027 +0.030303 -1.1016706935 0.7838799200 +0.0454545 -1.0837122176 0.7967022442 +0.0606061 -1.0654502335 0.8104296704 +0.0757576 -1.0468724525 0.8244337982 +0.0909091 -1.0279763830 0.8384033469 +0.106061 -1.0087643609 0.8521742259 +0.121212 -0.9892436526 0.8656596955 +0.136364 -0.9694187978 0.8788209452 +0.151515 -0.9493000016 0.8916418406 +0.166667 -0.9288923410 0.9041244740 +0.181818 -0.9082061332 0.9162755194 +0.19697 -0.8872460339 0.9281086468 +0.212121 -0.8660219036 0.9396355898 +0.227273 -0.8445377325 0.9508709439 +0.242424 -0.8228028610 0.9618252433 +0.257576 -0.8008206677 0.9725104588 +0.272727 -0.7786000807 0.9829340233 +0.287879 -0.7561440128 0.9931042869 +0.30303 -0.7334611341 1.0030251900 +0.318182 -0.7105540583 1.0127014468 +0.333333 -0.6874313590 1.0221334111 diff --git a/FarDFT.nb b/FarDFT.nb index 8388c16..80e2460 100644 --- a/FarDFT.nb +++ b/FarDFT.nb @@ -10,10 +10,10 @@ NotebookFileLineBreakTest NotebookFileLineBreakTest NotebookDataPosition[ 158, 7] -NotebookDataLength[ 16809347, 392106] -NotebookOptionsPosition[ 16749757, 391230] -NotebookOutlinePosition[ 16750181, 391247] -CellTagsIndexPosition[ 16750138, 391244] +NotebookDataLength[ 16777609, 391583] +NotebookOptionsPosition[ 16718019, 390707] +NotebookOutlinePosition[ 16718443, 390724] +CellTagsIndexPosition[ 16718400, 390721] WindowFrame->Normal*) (* Beginning of Notebook Content *) @@ -364534,13 +364534,13 @@ Cell[BoxData[{ RowBox[{"GICVWN5", "=", RowBox[{ "Import", "[", - "\"\<~/Dropbox/Manuscripts/FarDFT/Data/H2_aug-cc-pvtz_RGIC_RVWN5.dat\>\"", - "]"}]}], ";"}], "\[IndentingNewLine]", + "\"\<~/Dropbox/Manuscripts/FarDFT/Data/w/H2_aug-cc-pvtz_RCC_RVWN5.dat\>\"\ +", "]"}]}], ";"}], "\[IndentingNewLine]", RowBox[{ RowBox[{"GICMFL", "=", RowBox[{ "Import", "[", - "\"\<~/Dropbox/Manuscripts/FarDFT/Data/H2_aug-cc-pvtz_RGIC_RMFL20.dat\>\"\ + "\"\<~/Dropbox/Manuscripts/FarDFT/Data/w/H2_aug-cc-pvtz_RCC_RMFL20.dat\>\"\ ", "]"}]}], ";"}], "\[IndentingNewLine]", RowBox[{ RowBox[{"S51", "=", @@ -364842,9 +364842,9 @@ Cell[BoxData[{ 3.797437849772789*^9}, {3.797496478674491*^9, 3.79749647921807*^9}, 3.7974966029049463`*^9, {3.797497223039905*^9, 3.797497223126565*^9}, { 3.797497549673986*^9, 3.797497553024785*^9}, {3.797499077366261*^9, - 3.797499077466276*^9}}, + 3.797499077466276*^9}, {3.797504647375399*^9, 3.797504665233242*^9}}, CellLabel-> - "In[233]:=",ExpressionUUID->"f9315378-c650-4b01-adc0-3a8dfaf073d2"], + "In[312]:=",ExpressionUUID->"f9315378-c650-4b01-adc0-3a8dfaf073d2"], Cell[CellGroupData[{ @@ -364902,12 +364902,10 @@ Cell[BoxData[{ "\[IndentingNewLine]", "}"}]}]}], "\[IndentingNewLine]", "]"}], "\[IndentingNewLine]", RowBox[{ - RowBox[{"(*", + RowBox[{"Export", "[", RowBox[{ - RowBox[{"Export", "[", - RowBox[{ - "\"\<~/Dropbox/Manuscripts/FarDFT/Manuscript/Ew_H2.pdf\>\"", ",", "%"}], - "]"}], ";"}], "*)"}]}]}], "Input", + "\"\<~/Dropbox/Manuscripts/FarDFT/Manuscript/Ew_H2.pdf\>\"", ",", "%"}], + "]"}], ";"}]}], "Input", CellChangeTimes->{{3.79454995818198*^9, 3.794550056582102*^9}, { 3.794550957011647*^9, 3.7945509784674997`*^9}, 3.794892333111104*^9, { 3.79490037305298*^9, 3.7949003770798197`*^9}, {3.794916592518004*^9, @@ -364942,9 +364940,10 @@ Cell[BoxData[{ 3.797009272062922*^9, 3.797009293380967*^9}, 3.797009332686686*^9, { 3.797151486606986*^9, 3.797151501987962*^9}, {3.7971515921543837`*^9, 3.797151612479034*^9}, {3.7971552277115*^9, 3.797155228078075*^9}, { - 3.797496518238933*^9, 3.797496533084507*^9}}, + 3.797496518238933*^9, 3.797496533084507*^9}, {3.797504684302253*^9, + 3.797504735910864*^9}}, CellLabel-> - "In[258]:=",ExpressionUUID->"abd4eab1-6449-43ab-964f-c00dcb6b555b"], + "In[343]:=",ExpressionUUID->"abd4eab1-6449-43ab-964f-c00dcb6b555b"], Cell[BoxData[ TemplateBox[{ @@ -365567,330 +365566,202 @@ WyKP+w3Tk7clyeMpPfz0tmTQ9tbycsDZEZBgeNrHQpJADVmxI8+VRkDfR+bl AbsoluteThickness[1.6], Thickness[0.01]], LineBox[CompressedData[" -1:eJxVmndczt///xtIgyIqQkgy30IKpYeiJJRSIUp77921VMgqsjKL0tBUUYmQ -Bu2951Vd7WlH5Pd6vT59//hd/7idW6/rvJ7nPB+P+/N5zmWVuYueFQ8XF9eC -WVxc5L///4etZHrsb2/8hn1IHbH4JeLwW6WhutzQkn0M+rQXbcFWglDwddr4 -X5cJGtR5QudoLgatwnln8yEraN7Re3reaDlEV16/NRnggEIDGf2V31fDuunq -LRq/G/bs99IJp8tCe+95P5uznhAQu78ve+8mNH5Kncpb7YtIK02J3VFymO2y -YGnIVzp+bf1P0GbfdqTviK0r/HwWn8eZtB5eBcy9lnUnc34gEh8rWj/v2Ylv -IgrXVZXOg1v1VEVJtxKeP61oOMcKgnDdQPoObsAxPrL3v9JL6N8ffe7OIlVY -XaiU/yZ6FbEH3Trdo9RwQ/FEQe2JECj5to5s27AfX98r3S6/fx3xVd2J9Ynq -OCi8p8Z1OBQ/9S4uOyV7AOnxl3/zzb2Jpf+KVPIfaULhOe++pNW3IF4ft3C+ -kBbqpRITjZVuY6JO+p6S1yGETZ2VX6Z3BwnTypWHmg7DUS2wvd86DAp6X7NU -dmjD+ltabK7fXcRWaugtCtaB8wLh0Ngr9+D6RlLH2vkoHtynPuCK7i5/IaoL -drBu/v3YB6Ct8o35kqELjWGFeXFpDzGwva1+tYEe8l8e9Pnw+hH2tM4/s/+z -Hk4PXuYe/BCOAHER1eNXjmHh5Z+Jyz9FIH2gk35qpT66b930PlPyGJXaLGHd -F/roP58s12j1BK0Hh6cU9xnAwWudwoXeJ6hpXqsqUmUAluTr0l2Wkcieluts -PmmI5T7mn791RSL4FX/DbbYhDl1aFZdpEoXDfGkyqpbHMUfn5wirJQq/htZW -dHCOQ7u0J++IwVPcsnCodDI/gRzUaWRUPkVe65OzFh0n0DWWEXZAKxrr3w3K -7zA6iYKmS+mzCqNRKaAx3l1/EiZ/Dz8YQQyef8hIcdQ1wovTPNr/XsegpHun -R02ZEQp+PCtXVojFaudK5UWap3CtdO+SmLRYpFn4CW7LPwXR1mK5nZvjwMpX -6Nyochp6MvsX/XgWh6AQvlfc2aehlfA8v2PNM5R8GL7zYrsx/toJ4cuTZ9A0 -7fFVTTGGvaVRkNzyePDYjZkmrjOZyU88pluFtD9HmYDBX+K7WSwBW7JV9oou -P4MlL4elR24m4BHXecUFd8/A6f70g3rhRKw6OXbfa64pzmbzdAwGJ2KhTfSD -o+qm0Fo0ObJOIAkrN3DClwSaoiK+49PNi0k4HJX8tOqdKYRomc6ys5MRVsmV -5DVlitkXznb1BSbjT1p75tydZnhdsmtlNVcKAg/uL7jsaYZ1h3o39LFSIBMm -XzeZagbdOYHca/+moO9uSp/BqBnk/whHh9Kfo0A7ferJenOUbbq+cO3v53j7 -GqItVubo/3kr3Fo5Fe0CuuWfI83hoc0dlElPxXpndnFTuzkEHlzP43mTiijO -6NzrSyyQ2KJy7NDvVBx09ruyyMACx0WEd4buSsMywXPadqEWmK/E5Vbtm4bl -bwQMQkotUGm0cHr+qzRoskQi/OdY4r6LeqfmzzRE6d9ev0/VEq5+9xedVUjH -OpWwn3V0S+j6zo9I80pH226xuQqZltjjGE1nv0zHa61Fp6wnLLHN4FSy4Ld0 -fHQKnbDaYIXt1OcFuKOvFMlbWkFlzqZ5x91fwHqUp6cm3Ar6FepKPmkv8Ovg -L+xttILnVf+3tyZeIDPbqZMhYo0ne9puJW15iWhl0w+XDlqjjnPi3Qfnl8ip -qeq1CLTG4oAfyrXJL8HLeH94/htrmC7MEO4aeQmvnZt+XPxqjcx7txWGN2Zg -0Vzx7qqNNli06E7aZ/sMsIfOigxa2ODs+Uz/b/EZaGJbB1Q9tMH3wcmnXwcy -MD1YKn+x1gae+09Ljstm4uic5xvmCdri3y12X591Jqp2iFiYq9lCVU5sc3tM -Jo4HW7ld87NFr2vIojpOJnKXywzeS7XFuIFGeKl0FkSGtEsC+m1xonPvp3zz -LOz93L3o4Ao7yM5j3syJzILB9q6iMX07GHX+ns5gZ0En9WCf+1U74vuvhVKl -XmGT7TKn+g926HN98ybB5BUGjU4ai03aYb/ctGBs+Ctcu8SVteM/e/DcDvwb -2fYKiz8voG23tMfaR5qhEZLZ8L8dEiv8wB5JmkcLHhplo8zdQ7mk0n7GP9ng -Cs5XspjtgP5ra0TuNWVDjM2MbtntgLvrPsveFX+NeS5R3nKuDkiy/scOM3yN -oZ1b0y1jHLD6oI7S3TuvEb9nw3GfFgf8qWQr3Kt7jcPMECtbYUfsnnpRe1/0 -Dap/GrUr7ndEW0nRgkd6b6CcfPNdr68jOlSkPkfceIOgcHl+92RHqB/Pcouq -eoPMor1v27ocsWDRjVuxwjko+y+rRUbMCSpeSYaJ2jnIq7xrdkTLCVU0/jep -ITkIT+zWPcZyQsGaZ7mZZTk4nXc3UTHdCcq7FvK/FXyLoG16j3/1OuEYq3pH -vtZbJCxNNr63xBnC33o1ii+/RSHtWZ/oEWfYPdBRrix6iwa9/bsd/Z1h7TZf -rJ7vHbqi/E9EvnDGLL/VdS0a79Btb6z6os8ZqmlXvdkX3qEpoeVb5BIXSC/V -+ttb8A6l5pPuTodd8PSFse0w73uk3sx8s/isC0qYH7Mm1N7j1u7FlQ/SXHDN -9/zw94D3sDNalPynxwXfn97lncp9j2W/mhOWC7rif31BLri4aZYb17ji+EcT -10CpXHTttz8nu8cVU2sGamYhF28KEvgWGrpiQvKR7EWTXIT6K30ecnaFYiLN -mZ+VC3PfZXvTL7qiouZc3NXwXMglHflm88QVWTezaua9zcVvycp5QtnE94cW -T1xvy8X7T0+CI6pd4dca8W/Bn1z4P89zlRpyxTFLPe7bkh+wp1b+9TUeNzAv -yH1frPQB37b9tB1Z6oZfKrta7xp9QEIFP3Pndjd8DHV8sYT2AUaxjj/cD7mh -m1bCfHj/A2a/lqp/aOGG5Wed1JZnf0CdFONCOt0NalKu/I+bPmBstMUj85Yb -jp6qqVk5+QE6a5SfxCW6QXP77Ygo8TxM5T0WCMp3w8a4DMc1inn4XDz7hW4r -8f5MhT2xhnmQ2+V8X+CrG56bi4us887Da5Hmt6kC7tBNON4bfycPIUc1Vu5f -7Y62kJ85GzPyED+ZkVuwyx16836GJdflQYR/3eNtuu5IX2foseVbHnIDHr0J -sXXHVOcivTTRfLw2FxVrOOuObRTf8sGVFJwieNcdx1aniWXo5SPUeO6F/1Lc -YZR87Y+Cez6sfYLuqxS6Q7uulPPqRj4u/ZozotTmjnV3bSt3p+XjS89lxtqv -7pj4bpmTU5WPOAXhQ9P8HngykZuoMpGPmM9hx/NXemDPRUZ4rnABxhetivJS -9EBu9t0balsKcPZJ8obF2h6Qu7TwUoF2AU7dVv4caemBS19G/DWcC+A/Uf5d -ku6Bism1jKKQAnx+abbz3A0P/H6Q66eVXID4rp+vGuM8sKg526+srADJ3qE+ -S955QLEwhaY9UoBF+8oeH6jzwC03JXqVYCF4xQ4bmw15YFnHGbrexkK4jQun -WnN5olVgGb1OqxDWleL3jot5ouSnC83QvhBtGRaL5Td5Yiz6lF/T5ULUR32V -/aPqCdWFnT5G8YU4Gvap8vlx4nn89morKoRuaKeQjpMnAjYne5gMFKImVKmz -OdATrq0/Xdl8H9Fyt1Xj6D1PXDvY4mQu+xHmMe/3pSd7otNH356j8REu2f31 -//I8YU19PoKr7tjfnU2eWLZYxHzgwkeIfud9bTzqCZ7zusb2MR/xbNmkoDOP -F1a+2HBipOAj8rTkvtqJe8Ex6qGeM+cjTM4muehu8sKobvThCd5PYL12DFij -6oWID/s13KU/YcUf13U9Bl44/5mBb2qfoLE/2zLE3gsPug7v9Db/hLGb6grS -Z73Qd+Ol3GTAJ0gOLA6PvuUFU56X62iRn1C0b8P9Bc+8ILz90Mo/uZ8wFRO4 -wT7HC2PSNHEW+xNS50vpplR5YVb93vlcXEUYYv4T6uB4Iep426xAqSKIOJ17 -MDnphY1vL0/xogg3DAQU/gp54+7sg18umBTBWvF25/BKb3yWWzrIxyrCo4Wr -7hbIe2MT/nZeDi+CTH/q6Yua3tCT+9Ig+LYIAhn75ORPe+P0rF/lIW1F0GA0 -Lyh18YbumwWFwn+K0Kbszn34nDdkDZRzbkgWo/jHvH+ZYd4YrPZ9IapUjIUJ -SQJCCd64vvVTwh2jYqQbaq859NYbKz1lo8RpxUj68+WQZ5X3TP0pBtejBwEX -e7wxGbn8hmR2MRLl1QvP//DG7pAXl8KbipH68YuYI78PbE6d8peaLMY8vafe -e5b5gC602DdSvASFDYacyf984PWE7SKtWIJ6/XmmEao+MBR/ZxNjWII9ZR8H -N+v7YKVb8hlZ7xL8Uz4XEGftg6rklOPxd0qwJE5NVtCPmL/8g87GjBJcE5jd -euKqD3or+w4k15XgpE3Jo9BwH2hlLN+75VsJzr696Zj+3Af3mNY700RLMS1k -ovXugw9K1n2Q2769FPUGmxRe1voQfYv0+gy9Ujw9Fxp2q9cHnSqBqxTdS8Eb -uLzm1E8fsLZ0LMm+UYqfR2sHhPh9wZivuFAprRSMibSW2KW+6GgNFnhbVYrb -ptlx6zf5IuZuJw8mSqEaOahzZ48vSlS3TOUKl4GVrlY7qO0Lgxb6V7UtZdC5 -XbBV1tQXamaFwwXaZXip4eJwxM0X1xoEORrOZXhWrOZ/OtAX2K3TVhRShm3L -4ap/yxf6wdfrtJKJ76taKu2I9kV5GfUBl0J61/RLX8ROzSnUHimD2tQ6i7RC -X7RLqLytEizHohtF7w83EPGvcc/Q21gO5s/Qn1V9xHjF0+Q6rXL4/hcooPKT -eH5OdYyhfTlmKzyavMXnhyftU+FNl8uxZn7nhxpxPxRHSYcZxZejMVPL+res -H3QNNa+1FZVDUq6zV2CnH1Qm7YJMBsrxze/R3lmafrhy6RKLzVcBk9uB3n3H -/aDMF+1tLluB02dvXEyz8cMRzxxnjkYFRnYXe1j5+BF9QzXBgwrM/7Reieei -H8p6e00GLlRAN+8a42KYH2ICfxvax1RAtbS24VuMH9RjhXVGCirQ3jV5UCvD -DylHZQ44cyogM2e6/mKBH3oClDDBW4klO3toybV+6N2lp+guXYk3Ps92vukm -nve12/JNrRIChUeE0z77QVUlQNbbvBI8K2unr3HREHHlvtRkQCWeXdrJZyBM -wyfjdHFaZCX+TDPX8a6gISurVPhPbiV+Bz41v7+JBp97vXwsNvH8ovgMcSXa -TH9QBa6X11axDtKw3mfen1zhKswx048tO058f6vkZIBUFbIkf+/jtaYhccv6 -b2pbqiDGOft7lScNvG6KE7yowsrXvcXrAmn48F19pEC7Co3hG9PFQ2ngvNUf -uGBShd3XdV+MhdPgUGxB6KcKWteOlycn0nBCwoPNxyLe93APl0E2DQkJgYSe -quCUwXuY85EGc/+bTZfDq3C+PT71VB0NrPtRhL6qoC4q99+bLiL+H+lVgm+r -8MIwrIBnnIa+O/mE3KpQHtfmse0PDdm6dUUhbVXoaJsnrsVPxy/u3gLtkSqs -K5O/fFCMjvjY77nCf6qQ5qVbIidNJ+rHHEKP1fBstmj5t4UOo3Kx7BuS1fD6 -6ZCdqUzHKR1ZQp/VeFFpZ2NwkI7SQsU0UaVqbDY35rQa0JEkp0notRqcFA05 -LXM6fl8/EX/HqBoNGTKHnjjTkdNjS+i3GnN9fst30OgY3ugXKU6rhseXglHe -i3Rcsb1M6LkakusueC24RcdDim/V4JZQKpvzmI6l74np4qshndf3uSeBjrnN -RHjZ1QhYe6nvWSYddv3FBG6rsUJdKsEgj44dQ83EhNX4sjxJeaicDs+uwQsm -A9WYk/ZfhHUzHavKfwVITVbDYDq2ooRDh2oyP+GHGnBmL64Qm6CjPGAJLVK8 -BgmffB9pTRHr1VpP+KMGaftqdlnPYUBx7i53acUafPFbFWu3gAGx15qEX2rg -bm/dpb+MAXPTE/YxhjXYtDhyWFaWgZVTNoR/arCGVl3QvZWBhTd8zGW9ayBY -1Rt4QZkB7vUXCT/V4P6RMiWRAwwM5xF2vlOD4i/nxwN1Gag2iSX8VYOI98IR -7acYePGHSEdGDSReOh5Yac3AzUcEXgpqoFD1cFjLlQEHlXqt5Loa/BQNv2JM -Y0Ctm5Afp4aox65rTpxnQPQSIedvNbBbKJa9+xoDXVtmEX6shUR5iObsewwk -NIsqpYnWwuF5Y01WJAPuF6QJf9bCOvvrcb1EBuSp/q0WPMNdjXUvGfjSTUyn -Vov9+6P08I74/m0iPL1arCuUL7rxiYEzmsRyzWuR5nR/Z1kVAyLTbsSEtWDv -qXo61sxATgaRjgDi7zua+X91M2DlQqT3BvF9g5f2w8MMCG4k5BJZC/VHZh8L -vzGQNJBKBFiLWYJdyy79ZeDAM0LOubWwidzmvG0OE2w70h61cD1j+LpgPhOe -mwm7sWsJfRzmhjgTs7+O82KiFs56i/ZFSjFx/fU/4lML84spZ4dlmRgPJKYT -rsMy2yO8K+SY+KFFhCdVh2xf6aW7djIRs5BY7pY67MyWK1Dey8R0swrBgzo8 -XO/FvV6TCa5IIh3adWAXT1T/1WEiyYZIr0kdBB483fHmOPH8ZkIuznUQexCy -yewME1NfmUSAdZhTkpI5bs3Ek2xCziF1aFrPV2ftTMTDIuwRXodr2WHnP3ox -0buPsFtyHWT9jIvmM5m4MJew79s6PLU99RjnmTP1pw7cl0L5T15lIjeUmK6t -DuqNf+acusmEkT4R3kgdHA2f3FW/z0SEOLHcP3XwmE1/I/GEiUstxPYJ1sOE -E+xeF8vE8nAiHZL1+O9rfY5vMhOnzhDp3VgP9lbDB7NfMqG2ipCLUj28n8wT -pL9moqqbiE6rHqMqf+Y35zIhEE3I2ageWvNWx0p9YmLIkrCHfT0uCZ6t0i5n -wkOGsButHgm7RK9Z1zLxrJfkQT1S73Z0WDczERhD8qAe92S68nQ6mVCyInlQ -j9kL1TJX9TLBLUPyoB63r67b0T7ERCOHWG4R8b6btxE4wcSbaGL7muqxcm1Q -vcgPJp5bEukYqMfSA39/XJpiInUNkd7Jeuz6/uvpEBcLeRxCLnwNYMkx2nbM -YaEnmpCfeAN6J889sRdkYaEVIWfZBjhrL5i4JMLCURnCHooNWLF1TWnoYhYe -9RJ202jA+JOcrYFLWfhFrDbGsAH94S3SxlIsWFH9dQO41rEiV61hgb2WmM67 -AUBcQuU6Fuz7ifAuNOBJjwFsNrPA84xY7p0GrF185czAVhaekTiNaUBV7T7B -YwosmKwnedCAcKlzanG7WZAeItJb0IBrkwdm96qw8DOBlEsDok1uHhPax0KT -A8mDBrTpmm9efoCF0k0kDxqwqybrpvghFipGCXvwNuJN581zU9ostKaQPGiE -Ce3rvyI9FiZdSB40Yk1UM5+/IQtrt5I8aMTC4+oPVxqxYPSF5EEjFB7+M0k0 -ZuHqC5IHjYh1rf650oyFN54kDxph0fjaKsCShf4dxPa5N8Kh8s2zMhsWRH6S -PGjEuxN1uTwOLCi+InnQCGOPWSnSziycJMtHZCMOSB123eLGAms3Ib+0RrBO -J/Kt9WQhfIrkQSO4N6725PNh4VUOyYNGVFxJzaj1Y6GatBu7EQN0w7IrDBaG -SPtONEJnWvTVprMscFP9QRO4Fg76ZgewIPqB5AExzqqfv/U8C6sDSR404cBo -s9+tIBa27CN50ISOl9+z2ZdYUCJxiia8n7e+UuIqC/tJPGs3YfinR7ZSCAua -QSQPmmDh0eB78DoLWgdIHjRhnf/ReWo3WDg0l5AfqwmKK7q9ZW4Rz5PlLaQJ -N49czfh6mwX1KyQPmqAy/3BxUhgLyodIHjRBznRN+tF7LMgLkTxogsu+Ra6d -94n8UEBowu8sSd6TD1mQuEbyoAm3ef/JvXvEgpAOyYMm/HEIJCoMC1wiJA+a -IP85JuXIYxa+ktsn2AzlW3bTPk+IfJHpkGyGqF55yrVIFlrI9G5sxpvN1e9v -RBH7S8pFqRlya722BjxloYiUn1YzfJWyeY2jWcgh5WzUjGCncAWZGBZxziF5 -0AzPnFUlzcQ4kbQbrRnr12m8o8WyEEWu9nIzUlIFROfGsWb6g2ZwG7oUBRDj -W+R08c2QlfDs6CfGwWR42c2Q/ClhsPsZCxfI5RY1o+OL8SY/Ysygtq8ZroL7 -LKOJsR+ZjoFm1O4t+vOGGLuR6Z1sxpxbo9/fE2MnUi58LZjL80InlRjbkPIT -b0FziIRACDG2JOUs2wI/hRUyhsTYhLSHYguGpvKfCBJjY9JuGi3Y2MHPTCbi -O0HxoAXK7ePZSuSY4kELJH95Hc8k1qtPTufdArUNIfIriPExMrwLLZC1Wyvn -SeyPDrncOy0oyVDVekXs5xFy+2JasF6kM3CI2G8tMh0ZLTjkO90sQIwPkOkt -IL4/9lhnCZGf/VT5aEGeW37PYiJ/qqT8OC1YxmsXxkXkV4WU87cW7IgKtWkm -9KBM2oO3FQI6u48/CWdhJ2k30VZE8FtbGRD6kSftK92KL9WiNycfsGbur1vB -/Wxf+2VCf3LkdGqtqL76XYOf0OdmMjy9Vpxmrq/0JvRLdlfe5q14xuj0qiH0 -vZbcPvdWpF8WV1pG6H81mY6AVvhEV6/QJ/whRab3Riumy+atohH+WUbKJbIV -arxlqtcIf4mR8ktrxb4DQgGhhP9ESTnntoL3fkWH/2WC36Q9qloROLnw1JmL -LMwj7cZuxXur5u8bL7DAR9p3ohWv2Kue9wUS/KV40AZXu5Gg6/6EPygetGFs -+1snWRYLf8jwpNqw3VNC/zmd4C253C1tUN0wvUuW4M1XcvvQBglDZ6nr3iyM -kenQbkPWT9tZ/R4Ef8j0mrRBWnhs4D+CZ5RcnNtg8OhvmTXBux5Sfqw2HH18 -N/UawcMOUs4hbVgskXMr1pbgM2mP8DY8nePgnWLFAtn9aSW34bd99IlYcxZq -SPu+Jd6vbrv72hmC71R/0AauO5mS1qdZKKOma0O60fU/m08SfiXDG2nDf2Ff -2noNWMgnl/unDe4H2DkhBP/fUTxoB8P5xCMZHcLPFA/aoS94ip5M1I9Migft -RP89cFJGk4UXFA/aYRT7TzFkPwspFA/acTU6cnHvXhYSKB60I0ii4sumPSxE -UzxoxwGeC5WWu1h4SvGgHfVmHxODd7AQQfGgHRsUb16MJurh/35ubIdG4IB5 -ElEvqeni27FP32NnzHoWblM8aMf2d208oTIsUPgrasei3DV5jqtY+B9O29F/ -8pC30nIWzlM8aEfK1aNSfyRYoHA/2Q4bA/m3KYtYoMoHXwcWZv3QOUbUf6oc -iXcgLfVu4yDRH3hRPOjAPjVxfXc+Fih7KHag0MGrcISH4AmV4A4ob07feHKa -CQeKBx2ICSoPyvrFhA3Fgw5w+35q4PvOBDWddwd0uJ9IahH9jDHFgw6Erj5u -wBpm4jTFgw7kt46ci+5j4jjFgw4MbTKLy+ligkpHRgd4xV6+/9jGxBGKBx2Y -f7e3LK+RCap81HVgXur3itQaJtQpHnRg2pRTGEr0Z1R5+9aB7vi0NPMiJqhy -yduJzCvGN9fmM0GVX9FO+PMO2LS9ZYIq59KdUFqku+38K+bMfW8nBnLufV7+ -ggmq3VDrxK85Vk8TiH6Sal/0OtExdUp94zMmqHbIvBNhD5mNj6OYoLbPvRMr -+opPzg0n+lGKB52gte4ts7zLxFKKB52Ip3f/l3GDicUUDzqR+DEl4BfR/y6i -eEDElxNTsDWICUrOuZ2QNf7009if6E8pHnQi8pmoJIvGxByKB50Yj7jy301P -JqhyPtEJ4b2btj0g+vGZ/3cA7uBJmTBbJs5SemDjY+A4f5A5E9T0wmycXrOg -w+40E1Q44myUuBpHqRoyQYUvxQafbZ3hvKNMUMuVZUNCwHuq7CAT1PZsYWPK -UC3Ufx9zRj9spGvvWrxuD5OKhhdsKH02upKvwIQLpSc2wlTixvWI8wqVXm0i -HoUV6g3rmaDkYMhGUfP7K0ekmaDaSxM2HskG575axpy572Vj38pzfeJizBn+ -sBG3y++Hg/D/6Y94/6DaUMZcJrooHrFhLsf+8J2biTOUHtl4NU+XuXGKAcpe -IWxkBNxZdpw4r52i9MmGUXDyY99RBij7hrPxdPP9OaF9jBm9snHL6oRueCdj -hl9sbJAfPvukiTGjXzas7+vevF9NnIcpnrFx8M7VS5dKGKDalwI2amXvmzvl -M2bOP2xw6dJWauYwcITSNxsNIlvyJDIYoNqpNjb0bLIOdCYzcJDSOxte+qJp -D2MZ+Ejxj409TWrTRx4zsJ/SPxtJX9S3/LjLQB4lIDbyni7bfzuUAVUqIV1g -Dn9UXneZMcPHLnQU71+SHsDAHsofXehTCWveSpzH31C87MIdrTfMOHcGdlEJ -7sLgyIvZog4MZFEL7gJHxt/N0+L/zttdOP9d6kMpcf6nplPqglD+v54l+oyZ -+tqFV9+vFp85zJjhaxdCQgrcwvczZuptF4KuxLfVKBPnZwqQXYgb2S3AtYOB -dZQAuzD6wvf3ms0MxFL87cIJtnmyqgwDayj/dWHY7ddqw+UMRFE87iLOm8qn -zBczsJISdBfOFmw0tJ7HwGMqwcT7LnwSNZ/NwDLKn114nih82+Avfeb+ugtc -irMa936nQ4IySBe8ZGPapEfpuEfxuwsLmV+ipzn0Gf92oV5xZEt1Gx03KZ53 -4d3xm8yHdXQsoAzXhYo2TohxGX2G713g/dRjKl5AByWXqi6YiF3/UfSGDkp+ -TV1gl/Rpu7+gg2q32V24xBmyE02kg2rPB7pgaPHwQFIUHZTdJrqgeWCyX/kB -Hf5UPeiCRSj3ocIbdEyT1wf/uhCtkuWqfpk+Ux+6IZterPjOnw7qOCLcDaFD -gyw5Xzp8KB50Q49fTOORCx0/KB50Y2pEJ4Tbhg7qeCTbDd4fYfqmJnR8pXjQ -Ddc1ww9fGdDhRvGgG0q+hy0EjtAxRgXYDfvPrxMM9tNn6ks3Jq8ruD9QomOY -4kE3Bo+9z27aRgd1fDTshvIegwCRDfQZHnRj7NBkkeoq+gwPusHt/yzUUYI+ -w4Nu+DVbNYUK02FJ8aAbR0/IPUmZQ5/hQTcu/eEbKPxLgxnFg25Ifxx53vCN -NtOvdGNFRsdP9jBtpl51g1Hc/p7TTUMblRAiHt4hvu5mGowoHnTDyJy3qqmK -hiaKB91o61svUfyJhhMUD7rxLtSYnf6OhkYqwd2YZRqxLiyDBkOKB914pj8y -4Z5EQx3Fg26kOh/YdfApDboUD7qxpNC2eMkDGqooHnSjz5nfrjeUhqMUD7qx -yHG9RNJFGiooHnQjOqeowYlFw2GKB90IM+2P3uBFQynFg26MHL8Q2O1AgybF -gx5ERUW53DGnoZDiQQ/e7oXjvpM0qFEG7sGubad8R3RoeEvxoAdLfH7cCtWg -YTfFgx6cEF7wfsse2gwPevD3d8Kv4u20mf66B9y7C/ad2UDDc4oHPbAvOx0+ -vpKGjRQPerA93XMOXZyGOIoHPTAdFjzLPZ8GaYoHPZjwWc13bhYN4RQPetB9 -/FXEv99+kKB40AP5q+XqPp/9cJviQQ+GF5j/Gez3gxDFgx7MGvHKO97hhwsU -D3rgv4T/Xm6dH7gpHvTA5IEkc02pH2gUD3rwyDnZ7dwHP3ylzvM9UAl759We -5QdHigc92L9A5/K2FD/0Uw1VDxKjvxqei/aDOcWDHvguVr1c9cAPnVTD0YM4 -C8WVS2/44RTFgx4oX2+QNL3ohxbKcD3Ydm8FI4rph9MUD3pwjSWs2uXhhzbq -PqAHR9Ti7ZfZ++EMxYMeuPcMTx4z9QOHMnAPpswahy8a+sGW4kEPet867Ht1 -2A9jVAPUgx0/n/3jqPnBh+JBD4bmXV85f5ffTH/AARfPitjtW/wQTPGAA3qt -QaiBjB/EqPMDB0aBCp0ekn54SvGAg4j5uTevLfDDVqrh5EDD90tCDJ8f8ige -cKD7vlw2+68v9KiGjIPcHl3+4q++4FA84CCsl6VTP+gLX6ph4aC0QP93e6cv -5lH3URxYB9Ty99T74il1H8GBleQUk1Pqi90U8Dkovllq2P3BFzUUADkI7d9/ -uy3LF45UQ8rB6yU2CrXJvuDWpAwCA8ujBR+f+iKUKiAcOPJIFWbd98VS8jqd -xcHU15qdsdd9cZ+8fr/AAd8uR6mbF3yxsIQ0HAch1UO+dLovzlMHAA6uv9VW -N3fzxSjVkHPA//fueQ0bX+hSDTIH09c/Kqwz9kUS1bBy4OrdcorvmC+mKR5w -cDq19muPpi8OUfcfHOTtSZ9+q0LER/GAg5gV3ow78v/3+xyRn2NSTvYbfDGL -4gEHTS3JVcorfSFH8YCD9Zmr4oTEfHGCAioH3zm0iWZBYn+pBpoDFZvspGhu -X1zfTBqOA+497a2OP30QQf6c9IcDWPcxt4364Bl1n9aLn911N793E+Nykge9 -2Pji2dLMJh88TSYN3IumBrPFnhU+uB1CCroX3Npc57YU+MDfiUxwL2IlzlsM -ZPvA/Ai54F4UKIxmRjz3gcpmkge9OP1MiakX44ON80ge9OLs4mOisx764MAo -yYNeqKwVb3gR6oOr5SQPehHWcrHQLMgHf5JJHvTi/LaYrnkMH9y7RhquF8L/ -uWx45eYDUxdSgL3YUd772NTGB8eOkjzoxRdhfjU+Yx94bCV50AutH00iSXo+ -yFlI8qAXSv4GQjqaPtj2jeRBLwqTWfITe3xQW0/yoBdDLP3L17f7ICqL5EEv -4r42CGxe7zNzv9MLLqE52UUrfJBLJw1CvO9T1y3zRT4QMSF50Au6rP3D3/w+ -uLKX5EEvojY8rgz9542t0iQPemFe7S8n890bs+aQhutFjqTwu6whb/AMkjzo -RSK/lq8m2xubykge9GLXw61nGuu94f+c5EEvTKoK3S1LiedvkQbuxYr4OS/G -c73x3JvkQS+8ZL5J+WV6I8iI5EEvbNWvZXMleeOSCsmDXvyYW382KNIbr1aT -POjDMtcid4G73mDNITuOPmj9vvU2ONgbBwZJHvThy1qLq/MCvSFSSvKgDwt/ -7GgL9vFGWyLJgz7E2gilCjh5I+kqyYM+vKT3zblo7g2GPcmDPihtK2Bzn/DG -YU2SB33YdTVmD/2IN1bKkDzoQ2rQleVf1bwxyUVeOPbh0RoPf7ud3qhqJXnQ -Bx6rM9Ydm72RkEHyoA/sAzqVutLEeq6RPOiDfLnam3wJ75nzaR+4v+/aID/f -G5p7SB70QeO9/LKnvN7YIErygPj7pu03RH55QWSABGofFJR2XGaMeeHnG5IH -fege2T2rv8cLnddIw/Vhjup+nqPNXigxJXnQhyhF3XNZFV7I2kryoA+ZVWYX -lxd4IZab5EEfVIW85wdme+FuVRJh4D6oD4VI9KZ44UrES0LQfXhnHx+jEe0F -fwcS+H1IDynKiL3vBV9FkgdEPo4Na86+7gVXHpIHffj3esEZ8/NeqKisJQxC -5OfR6MBbPy98jWolBNOH8XK5RnEXL3DoPQQP+jCi07re1dILD43IDqsP+1aP -sj+e9MJSqmMj8rnf+o+kjhdM15MFqQ9/sjQYLvu94LyE5EE/TOnXTD/s8sIB -YZIH/Vh+fU/8gi1e6BUgedCPLV+PGZqu8cJhIZIH/XgcV22TvMQLdFGSB/2w -Sspsn5zvBY+VJA/6cZGb95XaLK+Z80I/uOIyf1z55YnsQyQP+lH3qPp+9Zgn -hOzJAkL8vedYpBjHE2uukUDtx3m/PfOMmj3B8+oYMWE/LEyvtT+s8ERSP3mC -60fEXY2FbfmeWLqc7AD7sVnKOnFptif0TjgSCenHkp8jz46neOLYfU8iwH6Y -SLUK3HpKPM9mEAbux++7ctVl94j5Nl0gBN2Pz6ajU7OueWLW2RAiwf1QoS24 -qnSOiKfxDrHgfgz0PGK5+hLx7oggeNCPkfDrDU+dPMF1IZYwSD+agzRV6s09 -ofYihRBMP5SZa81mnfBE1ftMYgP7sSJgPbYe8URCwjuCB/3wuanbdErNE7Ue -HwnD9UMzLWLTeUVPHJKsIATYj9vtIsoJmzwhHFlPJKQfp8SfzalY5YkVs9sJ -HvTjoYll8LiYJ1gHyB+I+mH4Qr18vpAnNtoOE4Lux+XFh4s3cntC2uYLkeB+ -KF7w8df44QHH/eTvzf0w4yn6YjLsMdMfDIArZM9KL7YHeu6TNw4DkJRpmnu5 -3gMLFpIFZACpJXeTHpR44JqtKMGDAbxjMgQT3nvgePgSggcD0FQJksl66QGX -VCnCcAM4KJTx+0O8B+oiZQgeDKCof+7VkggPXHTbSPBgADlVAQ1VtzwQJLWV -4MEANnySaq+75IGaRAXCwAMQLe2OaGB6wFlMmeDBAHzaSiQb3D1geEaV4MEA -DKcaj9XaeCA4SIMEIBJlBQ5UnPbA/OBDBA8GQDMz+/5R1wPD3UcJHgzAzrE5 -M0fDA3LThgQPBiAi5NyTouSB9nZjggcD8NorRQ+X88BEgBXBgwHc5x9lXpIh -9mvIieAB8X6bxmGXpR7QFvcheDCAxUYdBceEPXB3bgDBgwE4dXHzy8/ygNa7 -qwQPBhD4V/WVyC93mO28S/BgAMdTImoGR93B9n5K8GAAvV/FT7zvdkexXyrB -gwHIVSRr3mh0h4TqO4IHA8R5yDT6TJn7zP0okR/VLQ4bPrhjdFkbwQNi/ual -4Z8z3OG6dYTgwQDy5kjvzkhwx2mBvwQPBpBbdEDN67E7UuPmEx0WsR9SlzO2 -3naHI/8qwnADmBDovzV0yR3BW+SJgjQAmatm7Y+Z7liyVJPgwSAWPJm8oevu -jrnFpwkeDCL3YFLaP2t3mCu7EwYexLpLDOWEU+5Y7XmJ4MEgjp6y26Z71B1a -ro8JHgxCPt/91rf97miQyyJ4MIj69/fO3N5FrCejkuDBILbqtobJ/eeOB6Z9 -BA8GoXYwbEPRaiLem5MEDwYx7icjZCROvP/wnJQ6rUEc7Lmk1Cfojrhg4U0Z -eoPYdyH3lSOXO0L0FxEd2yB6zpSdG/3mhtdPFxEd4CDWeyQ9sh10w0aaCNFR -DmLhe1PejnY3cBr51ii6DyJ832DWkRo3dOX8JngwiGoujddZH92wdv0gwYNB -PP3hLbDsjRvSl9USPBgk6hbjGe25G87ffUXwYBAINrhd+9QNj6j+YBBcm3gr -1t5zw9Rqb4IHg7CddcHAM9gNEdu1CR4Mwn1x64YcfzcEla4ieDAImTOztac9 -3fBqaCJUMnsQfl2873fbuWHTjTfz/+QOwuNRA9PN2A3D7wKC24oGIRLKvBKl -64Yxj30Cb6sGoZczySlXd8OOVK5L4U2D2L1KM+TrLjcUeGTPZrEHUfjO4Zzo -f254+M7pnMnAIL7etvi0ebUbMkOXc2NiECVPt5xUE3ODxFARS2pyEKrDlTt1 -BdzwvsTlz79/gzBx2G9tNO2K2T6raGy+IRxe90rn9BdXKG8f/ZkrPATFtYYW -Bn2usP1X4xUpPgQZqxWR6i2uuNha8zVAagjze0X4N1e44sGnETdz2SH8jNn8 -UDDPFY/zV06obRlCR5zn8a4MV9ytdHaWVhxCwVDvnpR4V5wfbhjhxRBinQO0 -3cNdYSV+0oGjMYSL2w9c3nzDFbuPfR8s0B6CzS7Fsc7zrpiOSLSNMRyCpr8u -87KvK179pvdfMBmC9NwwhQ2OrjP3B0Pgqp61NO+MK/i67YkTyBCaW8JldY+5 -4pHTZaKADCFNxuxMo4Yr1vDn9fCxhhCUpv1Bf7crIl4ushi4MIQzLOsjxZtd -Md81oLsoZAjbgp/x7ljlCmeluebxd4Ywq3UR5+4iVxSKxXddDh9CnX3i2Gc+ -VwjxWJrZxxD759OkEfTDBZp/d3ZpJQ+h90HKLPF2F9DmrjPbmDEExt5msbB8 -FzyQ3tol+HYIIrYnmLwJLkjV1jcbKSD2Y8GmnWdCXfD68o2usrIhKOzRPxDv -7QK15utmyXVDkC30l28/7YIK1R/skLYhdJ0zu/xDzQWn8wvOOHOG4OD3Q/37 -Ohd8NZ5q1x4ZQnYM7Jvnu+DGggentnwbQvXcPT+ivjljW8eTJuE/Q8iMGhvU -bXFGU76wwQTvMKw89NX73jvjfF5fVZXgMDpoznNNY5yh2CJzOE10GMuylbe/ -v+KMUYHyjzckh7Hmv/eFvK7OeKbfttddehj/mr+/32zgDKesY6/1Ng7jUQ57 -1Z7dzjP9wTC4Gs4Ob5VyBncRLVFUaRjya2uXCc1yRr3XBulvasP4L7klo3TA -CUnKag/qtIbx2T4s07XcCVeW5Ylk6A0j8Mwcqak0JzgsTgi6YzSM9isbxu3D -nHBM9veUl/kweEZ5ZHNpTlDTS3c1tB/G6IXrH3+bOEE+rIaj4D6MeIOq8qX7 -nLDpq9EJcdow1p4uVF4p64TNdodKfwYMw/W+s6igoBPkJp/uabo8jIB5Zbqt -Y47Y+cTy+asbwzB91f4ztMYRGmYhK+/fHyb/f83sTZmOKG9dc8svchhvaMwf -MfcdoZa6ld8ofhgpq0vVJxmOiOh4Ebg7bRhjN4K/rjZ1xJB14r+l2cNwKPrw -T2afI1YflPCfyh3Gho/29txrHbH/wm/etqJhbLt6cXvGXEfoSepdzakahr+4 -xCnVYQccEZQSC28ahpjDsq6YcgdsNTCLZrKHMXHhdmH3cwfw/pJQMBkYBr+t -/9zJGw7I/aFeqjIxDPcF7PheDwc4aA9YSE0OQyLwRXyigcNMfzACrte/+bQU -HXBWMOQxm28E27IzCnIlHDDmel3tg/AI4s5yOoV/22O/HN9gpPgIbOddPKnY -ao8rB7/dDJQagbV5+FbFHHvk5BjutZAdQTxL1lY43B4Nl//7vG/LCHaby/x9 -z7RHRyo9Zo3iCPjm3Z3QNLFHzVYV49kYgcRZhlqCij3ShLwl+jRG4Pmq/kvP -CnuwVKUbP2qPQCQ7ivvHtB3kq9TvxxmOYMq/x5ndYYfGV+0ml0xGsFLk3u6n -7+xgPTUka2c9gmDrXEuVCDtEV0UMHHQegUdMSkg80w6GbhvubPAewcjoS4O2 -03ZgtYdsFmSNYM7e2pwGJTuILS5OG74wgtyH/Pn3ltphjUTbqrKQESziPmG7 -+pctovs+MpPuEPG4vkvyaLTFPf/LhcHhI3gyoBwanGEL7j7pX44xI6iwr13o -dMsWLWJhEkeSR4h6EyC/2M2WOK+wpTdnjEAoWPP7eW1bDLdwS857S6x/o6xB -zkZbrHGe+jtSMIL3tcv138y1nbk/GAFP0KYvAb02GP3hdTGpbgQ9qse2iOTZ -gD7yY3Nw2wis+G4KWkfYgJWsk+vAGcHFhoGgczQb/Nzlr3JoZAQHnh+PtDW0 -AefGpWcbvhHx3ugwWrzNBvsybKf5/4wgjMl8cXmeDRZFr9w7yDuKHR7yiYUD -1jhtkupUJDgKG3deteJ8a4hxxC/GiY5CgT7kczvCGmo7jgcHSY4iIWTwsKyf -NTi6zkwr6VG8ief6EHDMmni/sdH+jaOwq9xS93SzNfxHpaWlt48iddo7KITP -Gu4+t5fxKI3i1utZyzZ1WSG32/9ql9oolgbxNTu+toLrlkGXD1qj2GJ29q3O -LSswjMuLI/VG0X3IsbjOwQqDjpvvBxqNYp1GxZ+pfVZ4YczfYWE+ikVHY44X -SlqhbYvZPXX7UYTYfW6W+2oJmx75Iln3UTy+mX5OpcQSh33POwnQRnGwdEB/ -7IklQsd0Lo0GjOKe6O0je30ssUPjrkT15VF4Obx0kj9iiV1njVZk3BjFl5qD -GSWrLWd+XxgFl5b+Gt5JC5y+o3+FFTmKuKra1+1lFqC5X52wiB9Fv3WRr1Gk -BX7IqZQdTBtFudA2Ez8vC9RWOS6Xyx7F3jwRh90HLTBfT5wj9mEUehctH4cv -s0Dsyz0rp4tG8ePU5qmocXNETnZW91aNQn6vA0srzxzfl09PljeNQlx+xfrb -t82RsObenUz2KIIUNabOWpvjvVBK3OOBUdzR6vk2b6c5tjVgy+WJUag4fV+s -wm8OnoAjmzwmR8F6zDARaTHDtnmNEcZcY9Dt8q04n2CGPVzvv2vMHQO30eny -JzQzPOrsf7xZZAxVcvfz7LTMoJ2oG75AYgxlVltSOpeY4ZjFn9EvUmNonlwR -yjNoiud8AzeqZccwb8jGtibLFKb3Fl1O3jIGs10CijpBpjBfHFgbpDiG2r7Z -f3z1TZHDknMzxhhMvhhl6aw2hW2dlPnWA2MQfrWwJrbzDOzFjsby6Izh6YHV -q+fSzuDt/g97qg3HcOMWxyRf9Axsz3isCzcZQ9TDk5eakkxm+oMxcJkGRBxQ -N8Hrkw9+bnIeQyj7VKRIuzFMdwm3TXiNwWj5YOh2T2Ocml0oms4cg6fYeqdU -AWMkvn0T4XphDBWlkgoXn5yGtvlXxqaQMVju+TCcteM0NL46pPTeHsMmhyXX -1EpOIcxVZvujR2PYbrBmuazJKci3SAkejR7Dnamue1afjbBB7pQCd9IYJEz0 -uKfPGcHLvfHl8xdjaGF4GU4sNsLCyNtBp96MYej4oXvKcScxK+dm4qz8Mewc -r/3YrXgSWvnV0oklY/ioJtzd/ekEFlyVW3CiZgyxUp2S9wxOgNkfcmdRC7Ff -x2RijTuP43rTYCq7awwr27v8t1sdh6bJfoucwTGEvV2UtKTfEAm+4Ulxn8dw -69tbWRErQ7yU/R4c9WsMGwPbv4p1GsDc4dCsZO5xaFl7iPxnYIBX+54s/MQ/ -js9PzjINPukjM/Vr1sSCcQht41EK2aGP0+nq39YvHUfKkinN+sfHEKcZ9sl9 -9Th4TtjHbZ5zDA89ereWbhhH+oiOYZit3kx/MI5/jYkGwp904XPxbGmC0jhy -l7Bi7q3SxRnXkt9b949jVvpH9W2+RzExKvqh6PA4nqgKOr26owNZrtMrXAzG -QS/zGt+0XRuz4qIWypiMw2JW+cK8osO41N0fPmQ9DuNBkUYfw0PISN+Y+95l -HHJ0VWXttoMIEnf2i/YdB+u1iZq6kSa4FzwvvhcwDtdY28HTVRpY/Xgs9dGV -cTjhzNY7KuoY+7BpS+qtcdAuqC0di94HYz+7/bWPxlHpuzDahlcN7oXRE7Nj -x7FjSWUFt9FevJXN/7Xw+TiW8gp1tNrtQfGqeJnCrHFM3ruc/nrvbtx+Yei5 -LHccut/FJJ/sVMTq9tJeiaJxeIi9FA/WlYdrxFza68pxKP01fca6uhVnfwpt -5W4cB5++0oPxss3QGWgSGG0fh8zOOsHxgnXodHXkY3HG0fYsOGZqWhpyNz6t -fTlE7N+B4ooNP1Zg/8Eh2+sT4xjQexXl6y4Gsft15cI/xrF8vCzkQ68QEvyD -DHZMjaP/g/ZocPuUyv/6g4mZPqFI6f8BzypGWQ== +1:eJxdenc4lm/YP5GVUhFahIQiI7s4UdlNIyNb9t6eUbKTEmkS2dlb9mk/9ng8 +KiqiEpWGVDLqfX6/4/v+895/3PfxOa7rvs59XtfnPm4he+/zlzYwMDCo0W// +7/l/rxflm1iCjyrBMt+bJnbtlWbFILuBJj5dyH7GHH8ANuGA+zVvpqfn4ZV0 +eoTcwR149y/nRYNhc0gzOi1uK7sXaXV7TV8W2oLbJ9ZdNyeE8cIljrLMXEdI +euVrVGkhhnNX+xuIL1zAfN2xRXCnJEZyOYY6aXrCm1yfsvk4GRSIcQko/+YD +n538dzmLH8G1ZvYo5Yv+0NasKsD2XgGdS+946b4NBJ+Srob+FmU0M+OUnY4K +gQUFvh9VDUexp86zf8MJIsibSHa0jKmjL0+AcPzmy/Dt6df+ib8aeJnmwXHY +NQzalyK9JRO1sOHo0DmVnqvANz2mNyZ0At+D3YcPByJghjRjOVZ2ElmmhftU +wiPhcHdBjqS6Du4U5Fk//DIKltsOi73s0cVdK/JkimwMKHl6vXljpI/b/KIM +mKJj4U+X64jaKwNcjt7oMvP8GigO71v5bn8KR5SrqY5i12Eh9rbF+ofTmBqT +fD0uIB4Sl23/lCqcRWv/rCQjvAEezKbT8b/OIsfa9AdkTQDGOfFLd2rO4djW +J50fDtwCk6zxyJ7A87hvMUi9tfsWuCm6aEjIG+FshpW1h2siqGXQ7lV9N8J9 ++y6KzrMlQd9bgevuJcb4zMPvtlJeEvD/1d512s0EWWIfP7lw4jaIfzM8eVHU +FEt8Zx313tyGxToZzrtTpkiVONHNSUyGy5aLXqv3L6BLWR01g+cOtNESPePP +mSFpo04kW/Ed6BblYtNjN0cOic+vNE7chZun3dRlW8xx8+78V/oTd4HzTNbW +k0EWGP+SHHHA+x6cEqu/HHnIErtbQhcime6DPjAqSb2xxO1CRYp89+/D1n+9 +H0jJF9FmjcfmteQDuOHEl+iva4U156ucnrc+AGrgrNy2NSvk2h93auOFhzAi +qTxgUmqNQT53uZ0/P4T71zltNe1tcFJpvHbtagps6in/6cphi8aE82pdfKkg +/TjtabSDLfYrbchoL6bjvv6fQY22eM5n7u3S8UfAo3+sTHWHHb4Q2chiMfEI +aHsWZkc87dD9vBnrD580IByfenCsyw7Z/3980uFf0/YegoA9PtmXmdeSlg4O +8TEB8UH2KG5rmLWy6zE8KVFL9R20x7AF+5kSp8fQv19B4+ABB7xYy7ONXPEY +qF88bWvIDljfYid96e9jqOGcW9sx5oBpLPpqzvoZQL6ctUNP0hGZ4wZUI+5m +gNCJxwVnIhzxm/5nsbqZDMi3mmyQmHBEW71cZnbpTNjaZ6X3XOYSno1aHfYj +ZoJpvICRWcwl7Pn7Kf4XJROuZuwbL3p9Cduqg5WTebIgmsPxJe2IE2oWpI0a +2GWBL2XOfPiaE5okrkptKcmC4KDP2dFTTsi/T4KvfyULpqqLOKfknfGaqVhC +lE425EVJJI5fc8Zs+V93VJOzYfC9vVzQpDN619859OlNNpg+M/tWJeeCMx82 +nr0nlQMqFzb13Yl2QdYmbSYg5EC4C6GNf8IFn6mYnZzpygExjtxnalKuaGSt +ynuVOxckj91k3RjmisSDC4G7bXPh1l85Ey+qK55L83EsL8oFo3PJGLrfjd5/ +Oqa1/uRCiHyFtliQG7KS5xeGTubBv7z4j0EUN3zzYTLWLCkPpgpFCl343dFt +Jbvi1WQeCGsEx665uGNa1VH3i4eeQLtjTLhcnTuG82ZVPA9+Ap07jR9wsHvg +1n0vY093PAFRm9f9kWYeaDjydqFlaz7MyovszcjzQPmDTdOHrfKBOUU0zuaX +B/YcdHa8n58PkYnvuFtOeCLH6HTg+s98sOe3rW9L8sQVYSlea60CeCx9n+z8 +xhPv7tI7WX+zADSex1uVSHnhZJ0C0/aXBXCMS93iHsELRxl+nLkkVggPnxf6 +C1O88Jzyl44a/0KYaAouMeD2RqX85FW2lkK4euY4F5eNN4Ybzf6w5CyCm/Fb +7noUeKO80tviUrMiYAwc13L86Y0GxtclmHOKwJOZyrEq6INdBZNO5t+LgHO/ +Euu2kz5YoPr6UqlaMdjsTOVdcvXBRaaYAyxxxeD4jkEl+6YPZrC8emL1rBj2 +RF3yEqr0wWqtV5+rhUsgZrWnxv25D8rVxixu8S6BXJ3D2yNWfZDXebLOpaEE +Ql2TwpwFfdH+zButdtZSYLD7xbjzuC9u8b1xQ8C4FJQPW9y95+SLIv3vHxAe +l4LwSJPa22u+mGo77/z8cym06Aktrxb5ojpfP/HhnjLYdj+yc3rIF9/sCs3u +0SqDHY0fcpIXfVGpYVXqj0sZ9FfrP+DZ4Yeay0b8EglloBxVnG6n5IdrI1cd +zKvLwPjg1jqiuR966EZxxb0sA9Ecv/f2RD+8YWm9p4GxHPJ+0vbzPfJDx02b +4z6LlcPUbqXg+81++OlCopnA6XLo5nvwanbKD8U0P8WcDSiHS/MrxhsY/ZFg +820y/GE52Nmuxc8L+aOuQdD+6pZycDNUk03R8sdkZrLZh9lyuFLcpMnn4I/m +15mJOzdXwOMIcrNVhD+mTXHGGxypgIFBUp5Xlj9aM969TjavAPaEhjW9dn98 ++C09tPRKBZzpUGn+OuOP54oPmk7nVECW1+9PNhsCMEZJUYi7vwKYby5FPRQK +QMWEtokTixXgIywbn64RgPZVQ+FB/JUwd6j4r5dNAHLkWe18ol4JXgUur9ku +B6Ccs0fauGMlMKY5iHumBuDo0uq2Tdcr4TFL2lRKfQB+O8MZcKy8Egze8THd +eRGAcSGPOjyfV8IGpZEks18BmOZVypS+Xgkdf3tuznIHooycluywSBXcObrh +t7psIB5rNznFqF8FPguhfXanA5Ei9PGCnE8VWPDIcp5xD8T+U//OOtytgtNl +YnUssYF4WueOcnJjFZxttaLGZgei4ZaiLZ0zVWCtM3phrCUQuzKPj/5kq4ZQ +rVi9z68CsZH5YvQB6WpIryDlDSzT5cv/FLtgUg20e2W+oTxBmHR7uiOGWA2y +HSJBX6WD0Dzqh2ttRjWMsz8LlzYIwgj2Q3zzlGroc6HcU3EKQp59sf07v1QD +6/Tvqs1Xg5C9hyNOn6cG4v2cJwpTgtCGufIMUbUGzPbysvHUBOEeatjeItsa +8J1mUtceDkI1uYAfr6JrgNosQ9L6GITN+2+MbC6uAVJ1ahsbczDm5fc9VR+t +AZ9OnW0P9gbjUot0rvefGij+IuvyQzEYc9zqUh8LPgUFWUsK39lgrMh1SRk5 ++RQYbrQfZnENxoOBapkbPJ4C/wb3tOarwcjyTKn8SNJTIN06x6v5MBh1ei50 +O9Y+BQnl4Ls3KoLx0+lHH+5MPgXBPy8FsnuD8acTGxeFuRasR6+URs4Eo8O2 +B+rLB2thrsteV3olGBVOnwmSOFcL9aOR81nbQtBt76Eai+BaePbnfdKMeAhu +uCL59/qjWlBViT7xCUKQwd/odFN7LcwnXvrbZBqCdn/Sc7/M18I0U2SLhWcI +Hti+nXXf1joQTpiOa40IwfNN+d7nFOug5Mhlq8UHIVgXMvAs/GIdyPELhS6U +hqBS21u5qvA6sHFIFKjsDMHhB58j3j+pA6UdQ6eOvwzBq/8+9PAO1cFTqQmW +tG8hqPtjjFn3Zx28KarQa98YSvdPjXzo7nqoTjLjrdwViiJR18wLNOtBbqrP +w1M6FKVFzvi/dK6HC0mcpxaPh+LFM6zhnDfrQaJo11NNs1BMY6+IUquqh0zJ +XwWWHqG4fO7MZa+JeujkThfXCAtFF/Ep93SGBki02yHz9XYovo23Oz18oAEY +eM1bXfJCMfrKM1HGUw0gKOv2qqg+FIFBfVHWvwHmKnSvNgyE4lau+5X2DxrA +ImWpNPlNKP4tfOd6Gxsg+KOni/IPOn4msqPjfQMce1xVkLuRgPy3TKqXNjVC +QWN30BQfAQ2fh+qLyjVCBxT0z0gQ8G7RLZqJWSNESpgXlR0l4DLXQ6Poy43w +NXRsh94pAgYy3qXUZDcCs6wge5E1AVmvRsp86G2EttOK0ePeBCy/eekm3/dG +EB/ddWMkjIBBB1WmdfiaQLW5f29yIgEzFBvlQ9Sa4JBiQadQJgHD7xQmP3Fo +AkW7bduJFQTcqcPKOH6tCSxgA9PjNgI6GwyFspc1wb1h0v1bVAI6ZTL9U3nW +BHM8V6mGMwQUMsy+5bbWBBc4ucpp3wmYqFt+OEW4GV7XHJAXZyRi9b2D4326 +zUDk6bbU2UrEWypbb615NYOc6A8xOUEiCh+2N5K60wwb5vPuz0kR0TVwn4h1 +QzN8spktdjtGREcug383p+n4WolzrT7xv/M1PQzODF0jZkQMOPr+1TcpBLnf +L7trnIiYOGA8KWSMQFLV9HEOIKJThczn8wSENzIyjW+vEnFxPoQl8jHCxYkn +RYcSiCgfICVV3YXwXbFQE1KJePDcadvZzwhp2kpEgXwierYsDF1nbwEn9lPm +PdVETLv6MTB7TwucuPL5JbTR53vsFW6SbgHVJzuYwgeJuD0smjam1QL6kd2D +CRNENGkSvfHFpAUCuDeC6ywRl0RWDVhdW6DGpM9oyyIRP1eybNtHagEug92b +r6wTUVqJ6ZlyQgsw1dzY0shGwuLgwuRzmS3Abd6w2slNQvvAf4Zu1S2gLp9N +SxUgobHMv7/h3S0Qo6P7QEOChGFPCgpSXrbAQlKeXvkREr57zXi26ksLePK2 +vf+iRsKIYeav/YytwE695/lHh4QXwypj3vO0Qnun+PTwORJ6Lmze9VesFe59 +D9AItCRhAx9PLu/RVrhmQro+60hCjX+dh6RPt0Lyd/W2/V4kZMgWKdSxa4Wm +zsZ30sEk/LFRar9tQCswUH8usoaRUFx05m5ITCvY8n78XBRLwjuMx5kSH7bC +ZNIDqkAiCdVSzrjmF7dCsA5zttUDEgossva0trSCpPxBO9cMEqqweAhPjLbC +mtkmDq18EiY8JwYuzrbCx+q8tA9l9PlOSm0cK62wpP9PwKKWhHPlaWwim9tg +F//26w+QhJ/qy3WP7muDi3vfzOR0kVDsqk+40ZE2qLVwPXBlgIRpzC+r3bXb +QG642ESURsIzJ7/PRJi3QTe52Of+BAlVj1eyp3q0AcHBJfjFGxLKjQXLVF1p +A7M35XtmZ0m4iXrHqj+pDXyNrLjbPpOwS2Vz4rucNuhqdLP0WCThJc7J3rXa +NrDYM7H07jcJZ/TYNu3obwMxv8KpQ+sk1Fq8fk5qqg1kmid3a24gY+RG17ST +i20QzBCQv5+VjHkR6d+tNrbDb2X32GebyFjoQd/l+Nuhyrmj1nQrGZNa+Atv +HmqH0vgrqjk8ZLS4YrktT70d3uWlbm7jJyNT/m8ynmsH47rdcsV7yBijtvDt +uWM7MLau5TntI+PisWNu34Lb4WMzeH8RISPkvZtnu94OXJXvYk6IkdGbNOst +lNYOPo8+fXc5SMawRs01lfJ22HLZuNhSiox+zr9unu9oh1ljwfo9MmTUJjOL +uz9vhzUhQ/4iOTKurrtTIj62g+H7CQq7Ahlvz0t6pq63w0RaX7eCEhk3aejs +rN7aAZmnBXbLqJDRkbGhb0CkA/KWqM3LqmR8LBUbOavYAXO35isTjpGxuaPk ++D+9DnASsV9ZUiNjY9dhdn6rDhAoOpYkDmQUsCoZlPHpALMtnBKHNOjrlY/e +0IvoABMpB491Or7VnqZtf7cDdrJrXUzXJGPmba4/hHy6/Iwny1u1yHhX6EDO +7cYOWPh1V/M8Hft7zugXDXXAOttmJQc6PhJ84mPHTAeMPucYP07Hz9UNI17/ +7AAfh1tiS/T1HDr+7PjF1glDhY+EA+h4mPVU5pY9nfCjTK63nS7/ALu2uJh0 +J7z2OyPwlq6vdfe7PNDqhPjvPwSo6nR5JyWEzEw64Z/kvv5bdPuCwriTfVw6 +QVmUJipIt98mNIvhGrETFCa4JMl0/0jKjDtl3OyEXwajkwXKZJzKruqqy+gE +MkFA/Yki/f3nCvuoVZ3Qe+m7XqA8Pd6Ui/4fKZ0wsdlww3Z6fIyCJFo2vOyE +Er/DzpelyfhgJoVl95dO0E2+H1IvScYOzirtI4xd8MQvTrFLgoxjS75hBjxd +0L+ZOSPjABl7H45VOoh1QdWljbWG9PzJ/jv5hqjaBXaEm0SKIN3/+26yJp/q +AppB+qdt9PxrOaJ2uMi2C7i43Qol6fkZ6qFt2eHfBbzsQtb89PyN7c2/+Sq6 +C+alOpjGuMj45ZRz99KDLoi9apRqT8//4l+X2TYXd8Ei05BYKwsZuzq+nRZt +6YJD1Yq53xjJKF/bmKI22gWSd+L4vq+RcHV45ovJbBesZfUS2+j1t4fLQdfr +Txfcm/5FdaTXZ7Kf2pNoTgr8PcW19wW9fp1WfbekC1JAbp7bYs8HEt7MZyA+ +laPjSuY42WnSf/yUAv8Kp4v4XtLrOVDSec6MAndHC9oG6f2DO7lnlsGDAmsS +tn2mg/T+8rzFY+cVChwqZaSUUkh4RWP7H9kkCog7JFSPt9D762DTdf0cCnw/ +yX6PVkfC0+Ht+x1qKRBxwdv9cQUJlS1FOoh9FHh3r1VOrZCEJPPXrsmTFNjO +yrBQkEXCneTvO4q/U4At5+DD+RQScnbZdHcyd8Ogr6bqn9skNJcXvzrJ1w3W +nicGxq+TcJ2ir/H7YDc03jtidD2ChCthlI1b1bvh7bct/ZuJJHy1eqJP/Fw3 +PCIaUO38SCg95hqn6dgN5arWDLGuJFziVDxuEdwNOw/rmIbZ0vt7Vv5Pv7hu +mDBhoelfIOHIvY6M64+6YbE8JfTDKRJ+/Bitk13WDbZarDqmJ0jol/pttrG9 +G8RY9Y7dUyWhTxFj2NizbtBmsDMvkKHL31W//cs8XT+pM2kJB0hY/2FnOst6 +N8QmcG/S2UNCNm4hUcGtPVAiWpI+vI2EPalD2UoiPSD+TeCiJCsJf0aKCpxV +7IF/Xy5pWKwR8WGfcKKLXg8cFI4wtPhOxDIfynrYxR4ouhYUJknfjxUCuB0f +ePdApKjGq2H6fi35jKWjPLwHqn5O2egMEfH+3Yy9vXd64NiKyaZb7UT0q37r +M/OkB3YdyZwseErEJrmBppWGHjj/uGP8XiERCVusmbmHemBas2nNNJ2IBbp3 +Txya6YEuvniduSQi6k0HXj7+swcYhWXrDaKJePHFWrklWy/csS0yvhpKxEmp +Q1P+u3shfHSd95oHEUemGVjiD/dCG0GU0cGGiP38VMlsTfr41KP7XOeJaPl7 +0bzRuBdm46btE04QMYzscIPm3AvzpG82M4pE1CwUoXwm9MKt6t4ENgm6PWFH +WDfe7IVxeZ9Fxt1EjFxPOrU3oxcoK29iBjmJyCas9VChqheMWfee9fpLQJEv +GgunKL0QZbHfYPorAV/YJWg7TfSC2dKvELFpAu4nS+VeXuiFflryuAb9fMii +sZvzHkMfzK6ue0i1E/BKgVlIKXcfpLnKHVmoJGBs4/uPlAN9wCAgJxmWTUDZ +oDaHNyp98I9//cJsMgH9nn+ZWTbsg8cWt2sEowio/cbNZZstfb2ZJR3JQAJW +3FJckvDvg4FyIU7OSwSsnTsXrRXdB8aUnUxoTEDTuUYBywd9cFnkteTJEwSM +Twhu8i/qg1Nd7tfSjhDQfPKqfTz2QWNpJ3+fMAHraS8351D7YHjq40TvNgLW ++EVh0/s+iDR9OfSIgS6/9nLws+U+eMV97/fxr6Hok0NR+LqpH2h8AuebXoei +jKrtCqtgP/jY+0yx94fij5XtuE+uH2S0bIUO0vmCoEcBWeVkP9zf2PJA4Eko +Vt84oHjerB9yihJ0P9yhY5uYOTf3frioNCQVGRGKQjP9yRGX+6Em57LeTx86 +X+H5rZqa2A+1vx+lqFqH4tHljS+rsvvBRkpqv7FBKL6//idg4Gk/FGlLvtVU +DsW1oRG22d5+yDqe8oJJNBRJHTfv/n3dD+r7CcwPt9H5jquMAN/3fgif63Zi +/huCTc016dLMA+B+K+af1scQDOoQ2q3LNwCMe2oGTZ6FYCbB95btwQFQum5M +O9YWgqrTOf9C1AZgx6T91uXiELo+zS6JZwfgPs90eAyd/+VgY1++wwC0HR46 +9CkyBAlK6eJtQQOQJCm1ScQnBGttnK5MXBsAzi2r+6QtQ9BRefvwYuoAHBxV +9uTSDkFia+auTWUD8IU4+7VdJgRXVvhsRNoHwIyNOd9wdwi+e+f76OizAfAI +SbyfvzEEj10pGzOaH4D9/TebJ74G40rPKKvH2gBEMq3tejMejPs7Xx6J5BqE +WIHx4rr2YNRWMLBJFR6EGCLbjHNxMM5NZidUKQzCQIiH8Ke7wcjeNtfRrzsI +VrwbvY+HBWPRJO+/d5aDcNSYSvGh83WqvLT6utcg2MrRJP3PB2Ngh2z4jvBB +GCnjSNM/GowpNwT6pO4MwrWhwN2/RYJR89ZPfu0ngxB/c0dOCGcwuvXXuFs3 +DML4wrzq0FIQbteybw8aHISAhcVXK6+CUPX7b8GE6UEwuSFzfbUjCN+NB1/N +WxqE8IHMkyNFQf99vx4ChhI9TlJyEFZfUDR6sWsImg+LTq0Sg/Dt54D2b1JD +QDmj0HzWIQiTGh4ps2sOwZ5thHyCfhC2tJdVCBkPQa3fj8ehskHou7FEVtV5 +CNIDMrJP8QdhRtid6vOEIaDyRlb9+huIxgrO6u43hsDQPGU44H0gxoqI9Ec8 +HoJtxz4sU/oCUcWgxzq1cgj2o7PUt/JA9Coy/1XVNQSkmT1eC/cCUVSHljQw +PgQCuSwNSA5Es90q8rOfh4CTQ5TH2SEQt0rETvz9NwRaW0JC3+oGYuQB8bbr +7MPAqsBpqHA4EP/IbuXn5x6GNIYvny9yB+InxTWv7D3DwOS796LFcgCaK3zu +kjkwDCrRDx9IvQ5ALak3gk3Sw3BS41LOs9YAzBd4EaqnMgwC6eQrJrkBGMdO +o/OzYejOfCtWEBeA8wujMvaGw3Dc4F4azSsA2/qe0/naMCTdv/+Wdj4A+bOm +PhFshqEs7sOvAsUA/Oj/SZ/Vla6PUOQL010BqKi+WnDbbxgcrLxiX6z74xoj +F+c+0jD8U8tlkZn2R0084FUUNQz27YeMrDr8kSlIa0Q5YRjuLfz1tM2jjx+w +V+i8PwwpjYIXVOL8cWUo6uG5zGHwlbm5Zc7DH6X9ixkmC4dhp55+sscZf5zm +Gnd2q6brx2I81yXrj1ty2YZ/NQ/DhEshx29uf6xVOKYS0T0Mv73Prq799MNX +zf5ZXNRhmN59vP7Fcz+8rFm6JfUlXX+PCJ24Oj982PSFIP5+GGTst2VtS/FD +uSNyc1VfhuHG2ud+L5If6mWGmmouD0PTUd7ODCs/PMyTWT/AOAI6HL8PFqr7 +oVJSLLvFphFoPP/n0XVBP3TYI3V8lmcEtu/YK3CcwQ8rKxKc/QRG4Ow5+8KR +N74oalIW9FdsBAJZe04qtfpiM1OCT5zsCFxROrfgn+GLoc2HTHiPjoDX9EpG +zFVfvBAVvT/zxAhob+xy8LPzRQuz9Emp0yOwIatCTl7TF68qBUXUXRiBnPqW +LQP7fLFXeBP3SbsRkDb89vsYgy8q77K+Mew2AmkXNL9ETPlg517vRcuAEWB4 +Xfk9o9kHiVLqWh/II3D0lc6Ge4980Eivj+QXMwKWJuuCDiQfPO3Lm7l+awSc +dWn6LJY+6J0jWBH7cARMqvvDw1R8sOL9bBF39giIp85SRvh8cKecR1Ja8Qh0 +/zkuv/TJG3PiSu0lno4AS+n64mKeNxp/Ldtb1UL3j9lKX7qDN4rZ+rSr947A +3JIKrgh4497XC0Y9oyOQFNXYzzThhUpOEkNGr+n2coQv1Sd7YcCqsMLk7Aio +h8cp7jvjhWOpE1Eu30bA8MtY0hF2L1TNTNj2488IqFEUTi+2eWKYw1Xxy0xU +kI0mFpuTPDH3TWkv+2YqKB7KK3NV8MSynbuX7/BSQa6m9oLwFw9M3dJeJryP +jsXKMiNyPdAV85ZKJaigfvlG4g1rD+SX7GlXO0IFnYaz+zV4PbDQWEyg/xgV +jCf/WKQMuKOAShuTpTYV3D/EKqRHumPg+IOAj2eoEP5svdLgqDsWKpT4EMyp +kFJo9uzxdzfsOPXvN4cDFVqcHqSm5blho9ANjlQPKjCwtbCctHLDh+UXnkgF +UeFAQj9P8nY3NFu3HsErVDBda+66TnHFZeasqPPXqBB95t4eSZIrkrpFOt8n +UaEm1oQ3UMYVp3SmE0JTqbCa96fS6Z0LHoh4+X5zLhUUiiN+Mtx3wTOE7ZTM +UioE3P8xcdzABS2koo8o11Gh1lXPUfqvM2qnKh4abKMCi1BUYnuZM/JQREoc ++6lwtjnXnsHBGbuKTzWujlEhXbPk+XseZzQ9W2Vye4oKywUp3/y7nLCv5ALh +0Dx9/opXcUGwE9pWSOi0LVJhXiJ/LU3cCc+c2DNuskYFNvm+/kvjlzDVUVpm +duMopPOP7f137RJqczsY+nONQvXzrq9uqpfQ4OxTqXX+UTD1fayV/9ERS3dL +0iKER8H1vR1v/UNH9A5sBVbJUVg9ssn9kb4jxlsF+8QojAKnVaqG0YoDbqSd +cmKGUciy3XHvZb4DvnpxQuiy7ihUqAd5KZo74BaPixlL50ZB43dzlwObA6bd +SJ51thwFo7iv6Q5P7f/7fjAKjMusKwpO9jhMdGo54TUKmzTYxiZ47DFYl8Gk +JHgUku2/yZxvt0NCVn0Jz9VReGTTsjnV1w7H4+8MBMeNwkGlEPdaQTu8y5xY +/vz2KMjO8+nnDdhiCUexhfyjUagKTM93IdqiSPp8943cUcBXW+LWxG3xV6v2 +2tvSUYg81v+ss8gGD/h3LCnWjUJ0gH6KEqsNllXYV0S30e2zu2932s4aH4QJ +KYz2jUIGU40ER4MVTr5iIO8ZG4U0i6wfbjxWGEZhiLOfHIVsJ1v08byIjt6f +3Mo+0P2fesxGutMSPZmuH972fRRSfWNy6ndZYkrEFC1yhY7fayRt97LAr1/n +rDYx06B02UVUCc3RVTevJ3szDZ4W/LMW32KOHDd28Z/jo0H7KjPMWpjhQJOW +3lYhGrz5FNLpk3MB6yYErWYO0uA7wXKRsmCKPW/LjSjyNGDLL+r8dMQU/75Y +lkR1GmgEecCrYBM0r1+a69GlgdXMQ5sHdcb4MiYn5sN5GpAXlA6I/DGi9/dN +7PxWNGC4f/x2qKIR6n8Q9bR0poH4dFNuqu95VAv9WVnuSwPjnlzb+IJzaPw7 +9PUuEg1un/3bojt9FpPsKufvRtOgM6iDMrLjLP58mvFCNJEGv4IX50/fOo2k +lRMFlBQaXNNQSbz9zRAlJR5Zk3JpwKRjFeVraIAMJwp+Hi+nQfh16665LD38 +o+/hLdBIA8ktasZ/fukgv/rbvk0UGtQNLh/OOqmNVnu5NnFSaXBqINF0NuEE +ds19lRR8TYMjHGx9bWNaaPo4SvbkHA3GIi3jlfk0UeO4x121HzR42Vmprdys +jrXDME5Zp0HH7QzZSJ+j2KDLsPKWdQzy/MPGjU8q4+nC4uXEbWPAdOmsesEx +BfRY1hkb2TUGfHRWEWkqhztlhhKyRMZAfSWa3CUqjUbnj4uzSo7BCZ2Bqhtv +JXC3TXba2pExaHv685L17H70Nl1cjDg6BjsMGRKVD+/Dc8pSoo+0xuA9y4S7 +chAftjKbKOjqjQFv6NfzovJbsLnBVSTqzBjozzu5/xNcb9a2cv9qZDIG//v/ +zv8ADzMLGA== "]]}, { Hue[0.6142719099991583, 0.6, 0.6], Directive[ @@ -365899,330 +365770,202 @@ DHZMjaP/g/ZocPuUyv/6g4mZPqFI6f8BzypGWQ== AbsoluteThickness[1.6], Thickness[0.01]], LineBox[CompressedData[" -1:eJxdmXlYTd/bxhtQxlBk/IqijJWhjN1EpBIqlWie59OZlUqIKJkKIZSKKGke -FGnWQPM8j6c5RFF4996//nrPP13rOru1n7We+/48z1pntZmzlqUAHx/fgml8 -fOTf//8x0f7TFbnhIPTn+oXaJ/xUqi4r0bVo1UbCne4/sb5CUOA6btzSZoS+ -shHl3WoL4frZaWeduiVWBD9KUNq9FKISN++Oe9nDverX+u9qErCq9b3rOtMF -Ce7nbz3YIgXN/ZfPWXsyIZtfdUNEVQY1+W8nstZwIRA3fY3+/U2Y7rxg2Y3v -blix6sq6Xf5yiNsRUZn71RNliUyhmP6tEPZPDkyadxFKwZ/j51rswOh8hZsH -9lzG/qq7G0+NKSLm+efqSx5XMGyY4+QdvhsOkSFdW4p8cOkx372AM/tg6f1l -+6ioLyTcRs/uFdyP24r6ORX6N+CXqDS4RPsAvn/YE1ASdBO+f5onjIOVcVRk -Xzmt/xZWalf5LGg/iLjIa7+FhO9gb9LqgM2rVaAQI3gwas1d9K/LWZlgcBhV -q16/NtwTgC3h6WvD/Y/g3oTn9hVagZghPzuKL0MVDsoXm3qs7sG2MOZtXtdR -WI3GRmSeuw8rl1fbxoTV4bRA5FbE9QfoXjux/c46DTwMoj7g44Uk3Fc6hla/ -k9lBEQ/xMvlJnNBJTRzuV5j7IvYReAFft7QbHkd2wlHOx7THqEdJwN2tJ3C2 -9xp/78dgCKdrnLSPP4GF18Zer8x/Aj6MaXDlT6L97h22ceFTvC8ovpoWdRI9 -l6Plaiyf4cjpEv6ta7Vgz5JR8O56hgcj42nND7XgsTytaJdFCOL8j8dnztXG -So7Z19G2ENzdVtZX464NdZ/VL5KMQqHU4m4q1a+NGcfHBjzqQxF7+5R4xCkd -aBZ1ZB079RwDavpzDDN0kI7Kw4lfnqOAebfiqeQptA0l3juiFoYV58T4cq+d -Qk6tT9y03DAM6DXcLh4+BaM/Gg8HEI6tC3g+Sad0EX9WQPNfWji+he3uuvhO -Fzk/X5bsVYjAZtGKCPnVevAv2r80PDYCLWdiS7Ku6EG04ZPczs0vMMuz6rTC -gB601h4S+/nyBaLPQ93vpD7UXsVkN0u9RJHOcEhOkj7+2M7Bt2cvYSbUZdiy -/DTsLAyuyK2MhEuAhGfjhdNT+YkE32TI9PSu0zg/s5C7efErCCjZfnVXM8DS -hH7JgTuv4GHAVl4TYwDHoL8Pq0Reg3by0/hL0TPwTBVo7vV7jZY1ZmILuWeg -JjY+IDMrCjmf9wcaNp7B58jm/DtXo7DmlKWb7/6zmOOa5CQ9PRq/E7/kPQo7 -i+nenm3dF6Oh9tWT4y9siLTCXRJlfG+wSIh9w9zBEDLqXRu6Pd5AfyxWeHmp -IU7OuMi/7g/xfda23oRtRtg+KRJ2yy0GGtYTW+TvG6F4082F637H4Ge3cP3N -30boGbsbbLX3Ld4vCsxNMjQGQ5P/SpLbW2gU1Bb7Zhpj1sObWQLv3kL9Xy/n -6gITvK5X0lb//RYnzx4Uf6ZsAr35Ijtv7YrFTjduzk2GCebt4XMp48aC/+w1 -T90wE3wxWPh3XkosXvxlqY5WmiDIWaVFdSwWcuZKq2ynm4J2LkjMUyEOD661 -CybuMMVJ7rwnsaw4tLuY/ayxNMU+hzC31oQ4zF7x/mdZoCm2njoTPXs0Dot8 -fkx7nmuKbdQnHnxJwqvVf5hCacamuXr0eBSGj6kVSplB57PKHk5sPDh6WRcl -dMzA9L2QcXckHgJFNgXHLpnh2b7Gu1GyCaDx9S/XijNDZaf++49OCXg3puq+ -uc0Mi7x+7q2ITkDv24sDLSLmMFmYKNI2kICfGx/Y2iqZI+lBgEL/xkR02lwb -/eRgDjGxwNivdomIs9a9wf/IHJ6Xky6MRibCVObftvmfzPGjd/z5d14ivr26 -xPvx0xzMQ2eXD0snwWy441WclAX+3W3t7rZKQtyPVW6HtSxwQG7x5qbwJHwM -atiX4mmBLtoNscrOJNgnp9XMi7bA8KnDwUWSyUjQzFBVq7eAfsv+/GyzZAQY -d9yyE7KE9Fz3O+khyRDr2/TWebslDFp+/01sTYbsUFDEaVNL4v/T5rxdlYIe -+w1MGX9LdNPevXtllIKd5s1LGtMscUju7+yI4BTIVCYGMHssIRBw8U9IYwoS -3r/t+SpqhXWPVW89WZ6KhjVfFujst0KU6omcRwapeMK3QPSRg9WUf1LBp8/u -z3tghR5/qfkPalMxIfPvYU2OFe7LfJW+L56GO4zXq0tGiP+3+td6TzcNuYpu -ni9WWGPN0eN77gemIYDllGClao3JL60KDyrTwL/ZO3MW0xq7J+IrgkTfQcjo -w/O7T63RWFiw4LHWO0QJrzTiL7JGs9Kqr09uv0PvpifD2j+toaKX7BJa+g45 -hcr6vqttsEDs9t0IkXTsbZ/14IWGDZRYUbqvNdOhyfj55iXHBqWuM9+9vZGO -f+7Tg/1DbZAj9TIzqTgdagI7zU6X2GDvroUzM2ZnoPpe9jzhcRtoe5TtyFbL -gHp5U1zQGluIjHYd/nQtAy8TrmjOO2YL24fH934pyED/vvdtlhxboi7MW1wl -9B7iTpcdnoXYYtq5NZX1h99DRqVuKKPIFgdifdmt3u+xOjvd5v0PW0guU/vT -lfMegrwt9SGr7PA83tCmX/ADiuNlD9octUOhe17yiPIHXFj34fkChh38uZf7 -f3h9gPj+pongx3b48fy+4ETmB9znu64+N88O/+sKMsFvk3vHeNgOenlGtIur -MqHFvlkWsMQeE1K88mnIxNWNPKHoA/YYWf5Y+qpRJsKuftnx0s4eiq9dnWZ6 -ZOLVdZUzV+7a43P5pRe+wZkI3K7GPZJuj+Q7yeVzMzJh593k199J/H/fopGb -jZlY5/7vAX2uA841PPm3YDIThcvfPm7c4QBtCy3+gOUfccp0+P56Iwe4e8v9 -WLTnI/KOZVw/fcUBv5R2Ndw3+AiJtqUshzcOyLvlEL/U9SNMl0/XM6t2QLtr -ofujoI+4Pukht+evA1Z6OiqvTP0Ij+Grr3+sdYTyKtrMp7UfscZYgn7rmCNO -nCkvlxj/CJq66uXZLEeobgt4EiqeBaeEf21Wjx2x8UWig5RiFpY/POwbmu2I -X0kK+yJ0s8CeXHHhfZ8jYszE58uws+DRcDEzbYETTr7S64oMzMIORa7G/Z1O -aLwxlr4xMQtBIn8kdYydoDV37F50ZRbeWCw48tXbCXEyugzZ0Sw4KyQmOkU5 -YaJFTCtWNBvNl3nOxeVO2ErxLRt8J16zZv1ygvaa2MWJWtkovf8nT2aVMwyi -/ScV6Nk4crbFXFrFGZqVRZ0pt7PhEnxCY4a9M2Tu23zZHUt8f+bkhdxbzhj5 -YZGeXpqN4sC2fxZJzng2kvlaaSQbfzX4izobnLHv6vngTJEcuOyvpYXy0ZCZ -ev+2smwOkh+MhSiL0iDns9AnRzMHujHN4+VrafD5NnDhsFMONC7fYGnvpOHz -+LrzBTdyECQ+bUmOGg2/H2aeU4vOwV5b5VYpQxrE6lLPFRfnYOc51c8sZxoU -c9+4ag7kwP3MoslELxruuuxxK52dC0Gpl5c679KwotnYTWtjLvLL+Sz5I2ho -mLXCrVItF0XWq57NSaGhcMzZVdcuF/M6BHfMKKRhKOzMudprubh56I3kUAMN -Bxa2cAwic3Hc7z+HnEHiefxmNRbk4kSazrxr/2jw2hzNMOLl4sbnE7P2LnAB -rWGM1iqUhzmfFpo0rXGB/9F6RzPpPBREPhRx3O6CFo6OXefhPOTQeEv6VVxg -RX3ywP/fpJuengtWLJpvxvPOg2ts1c44GxcIXD5paBeeh20bWccnzrlAIn6D -/kBOHjb51n/Y6usCh9BHWk6deTArm35d/7ELBk+GaYwI5qPuz89oh2gXPPl4 -6DBdMh835r/Z6PzeBZe/nseocj4uztwoaPLFBQ/bNHayzfKRyHPcjlYXdN9O -kBv3yofMG/aHOV9dYCKQIOMaQsx3WiWsgJ8OkW3qEpOZ+Sjsr2unL6RjSNJV -3KM1H+Pm291mS9IxrWr/PD6+Athk6Tje2UZHqF7jtIurCtDM+9c94xAdGzOu -TQiiAOtSfy+y0aHj/vSj37yNCrB3m8rvRAs6vsot6xXyIL7Xa7k7wqRjE/60 -XAsuQIvUp3Zxbzq05L5Vz84ogO1jgZ6NgXScnfar5EZjAbIyfJ9tCqfj5LsF -uSKTBRj2sRBZlkiH9Km96beXfwLvj9+20Rw6esu48aJ7PiFpxfS57yrpuCmf -/yrQ4BO0uoofOXXSIcGUDhV3/YRMvc6WeaP0qfrzCfxM9bpHggyMh6y8vTz1 -E0R2C/gsEmVg9414n+DaTxiOFB5wW8OA9ZkzF1aNf8KzbKPpX+QZcJuziBsi -XgiJq9Mq5x1ggPWs1VlSsRD00V96e04woCv+3jpctxCBs3Fbx5gBCZdoY2l2 -IXyKKzwNnBgojX6jFxlYCA3FFMlj7sT8JR+Pb0wsRJv6wPlNfgx0fek+El1Z -CJVZNN/xhwyoJa7cLztaCDf6weOxkQw8cLfaGStahIuXrQp0UxgolPkot21b -EU7vr/vJy2MgbK/k+kStIizwe7HDuoqBFqWLqxXpRUg91tRS2sGAh2zz0tTb -RdDydv2x9hsD5+cpLtwTW4TGrZ5sKz4mmhv8ZmWUFkFXt9/szjwmwu+3CGCk -CFnD79MiVzBReEB2IlOkGCv+/XKP2sDEqXq378qyxbC9/Djq4U4mlE1z+3M0 -ixHhGXGYeZgJ/+rZnYedilExIq6xS4cJ7D7eWHCjGCM1I+m9pkzo+N2sVIsu -Bt8O+SBvZyZKiqkP+ITrWue4MxExMSNXc6AYX0/w7npeZ6JpiVJG6ewSlIoY -xzXdZ+K8FD1Ra2MJQg/t2ysdToz/ex5dqVYC8+GL8mfjiOdnlIXr2pVg8Xy5 -O+c/MPGMKHu110qQGnHIyKeYiU+hkvcMIktwLD4z0LOOiZO6qv6NBcT8O8J2 -mnUzoTRue8WIV4LDW4YPy31n4rqPj0er0Ge8ehyS2fuPib1CYWwz6c8Q8HoX -7j+HhWPMdKfOw59xpGHvz/+WspD1pYzgwWd4vF4b93AtC8VdXUY878/IbLBz -5t/KQvjF37p24Z8hrcufo6XEgkqEyPGBnM+IX9Yb46/GwpsTa484dX6G2fo1 -2xJ1Wejw2oMRwS9QuBh1LM+Mha5dWop0yS+QX+vz76MT8TzXVnZU+QuOLUxU -fenKwgElL2m22RfcOrpt/bkrLDy5HrRq3OsLfhYKhGy/w0K+YZy4a8gXXLwn -+bY+mIXk5CKRyUxivqhAXftIFjgPuoQ8Wr9gxpzTAd0JrKn+oJTorx1tNTJZ -WM+ZO5kpUor/XlVUPS4i/l9++bjXqlKY8K7X1VSz8Fp2/aiybCkKaHeZf9pY -EHRRHBFEKbQPDkbMHWTh4w+VgRzNUgiaPKAJj7PQmaHD8zYqRW1eQNmgABv2 -n8wJ/ZSijNP+KWMuG/pLGK1CHqUYonud5i5h49Wri4SeSiGX4nJhpSQbZhfu -1F4LLsWDI/FK0ZvZ8AgKJfRVCqnVhwOkd7LB9zOudHZGKSoPbfDyU2ajOzCb -kFspXsYZT2/UYCP1ZGXBjcZSCC33/7FIj41f/F05mgNEvFsM1+02ZSMy4kem -yGQp/AeK76vas4n6MYPQYxmSTjUdPcBiw6Bkcert5WUotvXfK+XJxpnj0oQ+ -y1Au0+r81YeNolzFWNE9Zci9U97x8g4bUXKqhF7LEPHC8oHaYzZ+39SPDDQo -A9M66EZ1OBvpHTaEfsuwrdwxWyOGjf6N50LEXcvQ0tO+KzqFjes21wg9l4Hz -cnxk7CMbjyi+leGfaAxvUxEbyz4Q00WWgb1hxmqNSjaE64jwUsvQ2P8n6FQT -G7Y9nwjclmGL/j3to91s7OirIyYsg6Pzl2PSw2ww23q9jXhleCQf6TM8xsbq -kl9eq8bLkPxEclooHwcHomcSfihHdpJSptJMDkq8lrqGiJfj3bnf6bkLOChS -W0/4oxyhPbq/FZZxoCi8iy6pWA42nw73zhoOFqepEn4px+6crwq1GzgwM9G3 -C9ctx8BWOQXhbRxITFgT/imHn4YIe80eDhbe5phJs8tRs+GksPRBDvjXXyX8 -VA7/nuS/i9Q56M8i7BxYjtiLB08Pa3FQZhRB+KscSpPfxeMNOIifJNKRWI4d -Op92m5pxcOcxgZeccjy4nv3xly0H9kpVatGV5bB62h513oUD5XZCfp3leHpn -3WQvlwNRH0LOo+VQtrgZc+ACB22y0wg/VkBLbGX+pascvKoT3RMrWoGi0C/K -b/05oHtLEv6swFuRF2vyAznYTvVvFeA789yq4DEH39qJ6ZQrkH45Z17Cc+L/ -A4jwtCrQ5jdr+fVXHBirEss1qwCdwfJVi+Vg/l8XYsIKOO6cbvIjmYP0RCId -XhWobEh9cP09B5bORHpvVyDM8O6OWbkczN5IyCWkAu0ZtxWYRRxE8d4SAVbg -2mTCo4IyDo68JOScWYFHS/9YCNVy0GpL2qMCyxbZ3ZFr5oC5mbBbawUEhick -D3VyMP37sCBGKnDqRdxS5T4Obqb9Iz4VWKjkz9gwwsHwRWI6kUr0/0h0+/OD -g59qRHirKuG74OT5dxMchC8klitbie+3jFkW/Fz8rVMieFAJSWab1fgMLvhC -iHRoVmL5u+oTnDlcRFkT6TWqRKMltrUtIJ7fTMjFqRIWzOXzFMS5mPjuTgRY -icRuuzbWCi6epRJyvlGJz+mbo5+t5mLYg7BHcCVifpq7JK3jousgYbfoSugH -zN2SvJELb2HCvhmVyA/c0BEqx52qP5Xgm0i7dW4HF5m3iOkaKyGY815hz24u -DHSI8AYqUTKyo6pHiYsn4sRyJyth5CXh4HmQC596YvtmVyGF5THBr8rFymAi -HcurUFdy/JKzBhdnjIn0bqxC1rUAwYITXCivJuSypwrsSI3zs09xUdpORKdW -haFN3MFdp7mYFUbI2aAK8mLietqGXPRZEPawq8Ju4/WpeqZcMNYSdnOtwpx5 -MaJHLLl42UXyoAovJV5arbbl4mI4yYMqzLwvFtftwMUeS5IHVbg3IUy/T+OC -fy3Jgyq8qg1as53JRU0nsdyCKqhuyc9O53DxLozYvtoqOE/eOiXvxkWMBZEO -XhVWHxyrue3BxVspIr3jVTgr8Fut2YuLrE5CLkLVWL076M1iby46wgj5iVfD -qa9SYI8PFwstCTlLV0NFNPqIui8XJ9YS9lCsRkTCavcj/lw87iLsdrgatz5v -fS57m4tfxGrDdashaNqaKhjAhSXVX1eDz2HDx+x7XLSuI6ZjV+PK8PxU5yAu -7HqI8Lyrcb//bojQYy4EXhLLDayGnEm8q+8TYn9InIZXQ+eY86GJZ1wYrSd5 -UI1/cfl/dZ9zIdlHpDenGjtup0c+Cedi7BUpl2r87DmmUv6Ci1p7kgfVUEp2 -K/sayUXRJpIH1VjwT0Xzz2suPg8S9hCsgdOHqHej0Vw0vCF5UAOt8ZiltTFc -jDuTPKjBh8jjNi9iuVgnT/KgBikVvi/M4gl9fSN5QHz/eMx9ViIXvvEkD2pg -snHdnadJRD6YJA9qcM9TvHN1Chc9O4jto9fALKiIcTuVi/ljJA9qkM1VPjCU -xoViCsmDGmSsPK+xM52L02T5CKmBug830DmDC4/dhPxia+CSorgi4D0XwRMk -D2og+ya1NfwDFynpJA9q4GX7tz0sk4sy0m6tNbDtmy55+yOhR9K+IzXokyt9 -ZpdF6InqD2rBt8/wrHw2F6IfSR7U4s286FNdxHjNRZIHtRgO/+B3JYcL2YMk -D2pRKBDIvyiX0CeJU9Riz9rNCbeI8SESz5q1ODDXJ+wXMVa9QvKgFs1p4eXH -87hQO0LyoBaiW64o3SXG6sKE/Dxq0Wi1npdNjFXJ8najFvssb35pI8Yq10ke -1EJhfcroADHeq07yoBY5cc/1uojx9jkkD2rB49caLyTG6ygg1CJ0cXZ1MDFe -4k/yoBaOBhrpRsR4znGSB7XIVjhIn0eM+eaTPCCef/1iPIqI9zu5fbPrMDv1 -3PHdxLiHTMfyOvwzSmUnEeuvJ9O7sQ7uwVZOq4lxGSmXPXW4xPRScCP2q4CU -n1odxDpnfskm9jedlLNBHWQH/ilOEPsfR9rDrg7VfuYuq4nxa9JurnWYnrfd -TYHIVyi52mt1eH+fcWo3kc//9Qd1EBCQ4NtM5PsuOV1kHUqn7XabR+jBjwwv -tQ4yzz7mNb8j+Egut6AOsyqT2oMJPZ2ntq+OOPcs/aJO6O0cmQ5eHeyn9/ny -krlwIdM7Xoc+AZmlTEKvjqRchOrx40EFeyiBC2tSfuL1uJY/EKZH6N2ClLN0 -PSL8Gc9jCD8YkfZQrIfmkDX9J+EXQ9Juh+vh1f1pwaY3XOhTPKiHEifI60QU -MaZ4UI9Lz6o/mr/iQoecjl0PPbGUJKuXXGiT4XnXI+0y85BBBBfHyeUG1iPq -62x7pTAujpHbF16PTWc8NoiGEnoi05FYD3wsulj7lIsjZHpz6tEmOcrwDyb0 -SJWPeiy4NPZn+yMuDpDy66xHeXOVRPEDLpRIOY/WY43izUZtgk97SXsINkDA -T1K++C4XO0m7iTaA3nTnvx0E37aT9pVsAGNjU5g/wb//3V83gI8tkFNL8FGO -nE65AasyBM6LXuNiMxmeVgM+8zUXK13hguyu2GYNmHMgIMngEqFXcvvoDah3 -X7vL+gLhNzIdXg1QSL6tY+HOxSoyvbcbIDVYK6zlysUKUi4hDQhdNXFKjuD9 -YlJ+sQ14ozm+5x+D8C8p58wGqLmWpr0n6sVC0h6lDWCHXip3cuRiLmm31gZs -zV9wScSOCyHSviMN8OC5Fj+zIvhL8aARejM+vFljTviD4kEj7unvXn3PmItJ -MrxVjRARP7p88gzBW3K5so3IOtD/WEef8A+5fWhEVK1ExFMdLobIdGg2orSh -V6GJqJ99ZHqNGiGrfvj43GNcUHJxakTeOoVh2aNE/SHl50G8zyl1sYoKF82k -nG804uma0lyNAwSfSXsEN6JD2XXy8D4uyO5PLboRxl+S07bt4qKctG9GI0QL -fPhEiX7gM9UfNIJ/82BJJ9EvFFPTNULmb+eayE2EX8nwBhpxfQ/tn7EMF9nk -cicbsbbL33ymFBfvKR40Yfz3/uPhqwg/UzxowjT3K1nblnORRPGgCWr2xhkJ -i7mIp3jQhMLcIsgs5OINxYMmXPQrOuo/l4tXFA+awPxg1NAtzEUYxYMmPDHy -HpWfxsVzigfE/HYIdP7HwROKB00IbfPLePqbg//93NgETq6jYxbRr1HTRTZh -TLHnRS3RzwVQPGjCjTN/nTv6OaDwV9CEvXLxue3dHPwPp03EeXB6ZHUbB5cp -HjShYeDH0g+NHFC4H2/C58pLSx7XcECVD6Fm1DvGhDmWc0CVI/FmTCZz328r -4YBF8aAZ2+NbLIfyif6Z4kEzLht3PgzO4sCRSnAzBtKvGB7IIPp1igfNsCvK -i64j+mFrigfN+Hc9zNcqjjhPUDxoRvTEqrGeKA4MKR40g7Zy14jhCw7OUjxo -hsbIMLsghAM9igfN2M885CtN9OdUOhKboRapsNntHgfHKB40w+Fm3tnsWxxQ -5aOyGeHrRpfy+XKgQvGgGT+cPljIe3NAlbfRZpy1kdmn58kBVS4FW1C/UPa5 -yzkOqPIr2gJnp4p7FxgcUOVcsgUr3BYtuezImbrvbUHLzh/rzltzQLUbyi0o -3T5YaGvKAdW+aLVAYJIzW/MMB1Q7ZNYCtn8gT+YUB9T20VuwYUDF7LcmBysp -HrRg1aJr3CxVDpZRPGiB/kzjjV7KHCyieEDMX5DvqrCXAzGKBy24rp1t1b6D -A0rOmS24Gnly+LIsB7MoHrQgq5Au9t96DmZQPGjBgeR11dHE+Y4q5yNEfM6O -27ev4EzdH7SC/9th2dhFHHhSemjFwf3xeVIiHFDTi7Qi/3Tcb39hDqhwxFtx -Q+lQ0TA/B1T4q1oRMGSz88gEG9RypVvRYCuhHDjKBrU9sq2wfGvHqxtkT+mn -FXJZRzYu6mFT0QiiFXueJs860sqGM6WnVvgcSbviXMcGlV7NVix5d/ypfzkb -lBx0W8H7xdEJI87TVHtp1Irfgtsi3uawp+57W6FRdyEgPoM9xZ9WvDhkIPUm -iT2lv1aUz08xCCHO720Uj1pRvO+h4o2XbBhTemzFnSK+dy4hbFD2utGKDWn9 -nZoP2ThD6ZP4fuaZRKm7bFD2DSb2J1Vz03df9pReW1FYmHc07TJ7il+teLo3 -e66rO3tKv604IHKIu5XNRhnFs1bEKx+91uHEBtW+5LTie3X5/hvW7KnzTyv4 -ilufyJqwcYzSdytaVjOeF+qzQbVTja3wq72maXSSjaOU3lshPCL1rO8oG3kU -/1qhbXPogbMyG4co/bfC8QBPYXA3G1mUgFqh7zb/vPk2Ng5QCWnD/MUZ1uUb -2VN8bMP9BUO/dkmxsY/yRxsGHZ9vfbiCjXcUL9sgurFpwXcxNnZRCW7DjKOP -7h+ay0YyteA2FOY3ZftPZ0+dt9tw9kXYvbI/LFDT7WlDoFzu7Hk/WVP1tQ3H -osRWqQyxpvjahivL7payu1lT9bYNe9z2SzxvZiGKAmQb6J9XzCusZkGGEmAb -pMVkHvZ/ZiGC4i/xPg2zHKF8FqQo/7VBhFPk998HFkIpHrfhQID5d9lkFiQo -Qbfh6/MN43tiWHhKJbgNkhESj5RfsLCC8mcbyh6qNB58ypq6v24D38Wgd7jP -whLKIG2IPrNil8JNFh5Q/G5DvfRnHemrrCn/EuvpfjNP1JOFOxTP2xB3/73V -LzYLCyjDEfHu+XO23ok1xfc2eJfZfU20YoGSS2kbthgISfkZsUDJr7YNulXl -o2d1WaDa7dY2/FEuMZfRZIFqz3ltWPP8J21IhQXKbiNtyPuhuSJmHwsXqHrQ -hoHd1ZZ2O1j4S14f/GvDLbrfMYnNrKn60I7pOokfyqRYoI4jIu0IuRfw0nMF -CxyKB+0w271BeIMYCz8pHrRDFezm0tksUMcj6XZoh3M3MgVZ+E7xoB1XHOSH -RSeYcKF40I7mgGfr335jYogKsB1n/8urV+1jTtWXdvwVDhVobmOin+JBO/J1 -toc41zFBHR9125HI7570p5Q5xYN2FIicV/YpYE7xoB18nvIqIpnMKR60w+R4 -8Ps7yUxYUDxoR9eFD28WxjCneNCOm6IPFt2MYMKU4gHxvJD0d6EnzKl+pR2n -zjoccQ9kTtWrdrgstFk47MdEI5WQdsStX6ZjeJkJA4oH7VgSenFOgRsTtRQP -2hHmFrJHlsGEPsWDdugmsdvu2DFRQyW4HVu0BP5+M2VCl+JBOzYcP3T7+Gkm -KiketEMzeu+jlyeYOEnxoB2y609/+3uEiVKKB+2w8hRy1AYTJygetGM05sjs -CAUmPlM8aEdN2tKSn5uZ0KB40I75z9zjVNYyUUTxoB2hxox3d1YwoUrxoANX -f/3uaRJlIpfiQQdyHcV2Ss9mQpkycAf00z+8dhJgIoPiQQcOdE0qJ/xiYDfF -gw548or+jI0wpnjQgcV5mxp38RhT/XUH+D1WNZ9rYSCG4kEHlOeHCaZUM7CR -4kEH6s+naYyWMPCC4kEHPmWbpW7JZUCS4kEH5nU9PmqdzkAwxYMOvGq1+RMc -z8ASigcdeJiUV1n+ioEAigcdaLdK+jI9lIE5FA86cOGr4pBCEAPeFA86wNRV -l7O6xQA/xYMOvA8cCbx7lQFXigcdMIqRXvXBg4Hv1Hm+A6eejxTxWAw4UDzo -QKiL+uP5jgz0UA1VBwx1vNQULRgwo3jQgWfeDbcMzzDQQjUcHbCZbuB8SYuB -MxQPOpBYMdn18igD9ZThOuAzkj1asp+BsxQPOtB2JubRN0UGGqn7gA5kLvpQ -v1iWAWOKBx2Q+e977O51DHRSBu6AGEt9tdFKBmwoHnTAe1mBjJcYA0NUA9QB -93m2Bc9nM8CheNCBPye28OUJMKb6g07wtYuX9vyiw4/iQSeuf1y7c+ZXOhZT -54dOPBzW27mBR8dzigedkHd4U6rWQoc81XB2QlNxPb99NR1ZFA86MXKi4NP1 -Ejq0qIasE0tTfTe8yqGjk+JBJwqcGZKf3tHBpRqWTkwyPRN64uiYS91HdSKp -IKZp+ivifdR9RCd+2U17KhlCx24K+J3IPOM+vv8BHeUUADsx79GyPsObdDhQ -DWknWmUaGa5X6OBXpQwCz9l+m++703GLKiCdkD06+iaBSccy8jrdoxPDbWLj -5fZ0BJHX797E/FWDwt/M6FhYSBquE6FSnm0LDOi4TB0AOhHwucBr60k6BqmG -vBOBFYW92qp0nKQa5E683Oa9lOiGEEU1rJ0oHhqbH6RAx1+KB8R+T5csTd9M -hzp1/9EJFfYMvTYpIj6KB514rBwaPmMFfao/IJ63+/lukygd0ygedIIzIPBQ -exYdchQPOvH3Uz7c+OnQp4BKxCOgEv183AVcqoHuBO65thcPu+DmZtJwnRi/ -bNPys9sFT8ifkyY7kf15XtjqZhe8pO7TuhDMcJQ7VkWMS0gedMGHcfnyuWIX -PI8mDdyFS5+1n0VkuyDgBinoLty63HCpMs0FFxzJBHfhTeByWcE4F5gdIxfc -hUZ+sdCtkS5Q2kzyoAtLP+U0mj1zwca5JA+6sP/s62MB911wZJDkQRckN6mY -5/u7wLeE5EEX8nbeWTnp7YLJaJIHXVh78c75re4ueOBPGq4Lh4UPX7BjusDE -mRRgF6SKomTC7F2gfYLkQRc+FOaxWsxcwJAneUC8XyjAeoWBC9IXkjzowtYL -Yn8NTrpg6yjJgy4IKajueqTqgooqkgddeCwju7QJLghNJnnQhSG9T8ESii5T -9ztd4MtZnG+5xQWZbqRBuvDFQTwwaq0L5huRPOjCWa0ioR8rXHB9P8mDLrxk -bl0FMRfIS5I86EJChUbD9dkumDaDNFwXPJyX7a0VcIFAL8mDLgiqPjog/ZuG -TcUkD7qgZviln/OVhgsxJA+6oBmTtLuQR4PAXdLAXRBROrnlv1YaYtgkD7rg -P/NpHqOGhisGJA+68HnBM8GizzT4KJE86EKRrk6XZB4NKWtIHnTjSu07e48M -GjxmkB1HN9xGfSvrE2g40kvyoBtrhV0Nd0XRML+I5EE3LolemfHwOQ2Nr0ke -dCNw8dsvkw9piPIledCNswvGU0zv0HDejuRBN+oEjbIKrtGgoUryoBuzhtr6 -5b1okFhL8qAbI18u7Qjm0jDOR144duNa5MGns2g0lDaQPOhGrevq9a7WNLxK -JHnQjcaDKyv6jYj1+JM86EbANMVgY13a1Pm0G3wZTlerjtGguo/kQTckHXNu -H1OhYYMoyYNujIntTM/fS6yHRwKVWE/CpxmHttMw9o7kQTey1M+5ZG2kocWf -NFw3kuoPTypL0lBoQvKgGyamW1/kLqMhWZ7kQTcyWvbQ1RbSEMFP8qAbX06Z -G5bNpOF+aRRh4G7cy35pY8BPw/UnCYSgu7Faa4lM0VdnXLAngd+Ny3OWf+hq -dQZXkeRBNzSSTt+bVuoMmgDJg254Hq+Mlv7gjM9fKgiDdOMof+Pc42+c8T20 -gRBMNypHP753DXZGp1sHwYNurNlwJfOVnzMeGZAdVjd2hMiItrg5YxnVsXVj -hkFkkri9M0zWkwWpG491hF/rGDjDaSnJgx78uKUyEnjUGUdESB70QEzE9ErD -Tmd0zSJ50IPhzwaOa2WcoTGH5EEPbpVuDWeIO8NNlORBD76K9m7Om+EMhgTJ -gx4sCXIXWvnTaeq80IN/xiPy3C4npKqTPOjBK5sD0TWVTphjRxaQHiyOp53b -k+MEKX8SqD04BI97z+OdIJCiTUzYg51z7abNf+6EqB7yBNeDkRXbsy/cccKy -lWQH2AMLp8byH15O0NJ3IBLSg2f85tucXZygHcQkAuzBk+r8zgET4vnW84SB -e2A0OKvH6QQx3yZvQtA9aFbZtPsHnDDN8waR4B5ItG5s9pQl4qkJJBbcA5n0 -mRXzVhHx7nhC8KAHo3W5S0LnOYHPO4IwSA+yfqvI7vrrCOX4N4RgelDc4nyv -YtARpR+SiA3swdJrBzVdmhzx6tV7ggc9iPyZqbuwxBEVjDzCcD1gyfBiktId -ob78MyHAHlz8L/W0UZQjREKqiIT0oLJ2m87Mx474b3oTwQNivXr6T5N9HeFx -hPyBqAcKD9ftsXVzxEabfkLQPTgc8kT6P3tHSFp/IxLcgyCHTItqA0c4HCJ/ -b+6B3KTvt9tqjlP9AQ98J/jLTux2REcQeePAwyIbCT7RDY5YsJAsIDzYH+r1 -rF3qCH8bUYIHxPNdZ06EzHSEXvBSggc81B7lujj+coDz21WE4XgYpit17O11 -QGXIWoIHPKibvAkXqXPAVZeNBA946FhQkNJV4IArq+QJHvCQetV3xYcUB5S/ -ViAMzEPlx/HSRy8d4LR4L8EDHuQyRardHjhA1/gAwQMePl8s3Wjs4wC/K4dJ -AOLlzD3lKlwHzPNTJ3jAQ47O8U+yNg7obz9B8IAH8YyggeX6DpD7q0vwgAff -RxHiM1Ud0NRkSPCAhzUjLLUxRQeMeFkSPOChPU3Iu1vaAQ59jgQPeMiaOJNf -Le4ATXEOwQPi/XH0BQVCDrgv7EXwgIexFnXztDF7qL33JXjAw+FLPenRPfYw -3Xmf4AEPHx6r/BdaY49W9nOCBzzobbK6ej/fHp/OvSV4QOz31qPjN5LtseTA -e4IHPExED9G8X9hP3Y8S+/1M96v7fXsMrmgkeMDDJmGvc5yr9qDJDxA84MG9 -23EmnWOPs7P+EDzgYXT3yhBHa3u8fTGP6LB4uDX95n47PXs4zFxNGI4HzcPZ -PdZH7OEnu50oSDzs+JN6z0rRHkuXqRI86MXODYxjVtL2EP50luBBL2xLhmZb -i9vDbC+dMHAv0ro3ldsI2WMN04fgQS+2sjc/sx+zgxrtKcGDXnx2G2E599ih -Wi6Z4EEv/MdY2swaO3xO/ELwoBf03oydrvl2eGjSTfCgF5PDmoqeyXZ4e2ec -4EEvgiNjTLxe2EFYY8abSrVeWC9uTbhw3w4v/EQ2JWr1Qk2hdZv7VTvc0BEj -OrZeaM9828Tm2CHtuRjRAfbC7ebxOEdrO2x0nU90lL3IKngfa6pnh84aISlF -ei/WJf5p0Dpih7b03wQPehGjM0f+gKId1q3vJXjQi5Mv+t5ukrZD3IoKgge9 -WBL94MwicTtcvp9C8KAX/ywXy0/MsMNjqj/oBX+5uXzzT1tMrGETPCDm/3rh -7PtuWzzZpknwoBc22Y5xj6ptcaVoNcGDXpSqbtjGzrNFSt/IreWpRPxeSc3H -kmyx6fa7eZOZvRh3WJi4JsIW/e+9/BoLiP2erZQ0GmiLIcbBWRmlvXhiuKs9 -29sWO97y+QTX9iLMbNquWyxb5DBSp3u09iJ/2dO005a2ePTe8ZIRrxdCV2Za -S5yyRdKtlfwY6YVT2EGlzkO2WNJX4LFqvBcjrGP7w7fbEn2U8+S/f724+3ud -o7mULaZzVru2CvXhaP3lyuVitti7bXAsU6QPMzOWXykStIXNv3JWiHgf6kP7 -vejfbXC1ofy716o+vPEdzZ/fYYOH+QMuZtJ9uMVR0I8ot8HTbIkRZdk+uFnF -bt2WZYP7X5ycJBX7QNO31EuOtcHl/uoBQfTB6ZhW3tYQG1iKn7bvPNwHlgrX -M/yWDXZr/+jN0eyDz/6aiyIXbPD3yWubcN0+RCo5l9KcbZDy263H26gPxdhv -88nIZur+oA98h9TUlmraQKjdjjiB9GGX+g22yT4bPHa8RhSQPniemjn4ZJMN -pGZmdQh59KHE7H105XIbPEkQM+d592EjIyqFf7YN5tG82gtu9MH/SsXcdb+t -4bRH2CwysA+Tj7dFH+i1Ru7iyLZrwUS8ScX3dGqtMUfAwtQuvA+/y58UGuVb -Q/XPzja16D54f4tUNUmyhquwjOnGxD4sXzQ0/3S4NR5KyrfNzuhD+m57yaMB -1nirqWM6kNMHW/PVF+QuWSPt2u224uI+SNycLy1Ct4Zy3U3T6Mo+yNP86ltN -rPH5wM/WG419GErhnQ05bo2z2TnGTp19MLJd++aEkjW+G040aQ704ZyX8ueh -Tda4veDhGdnRPuzn35/httwaW5uf1YpM9iGVt9JtbKY1arNFTo0I9qNVsVHI -bNwKl7O6S0tn9yNuyNX8XbcVFOvXasSK9mPb3N83BKusMDirJO/28n6Y3D19 -dXe2FV7qNO6nS/YDXkHHTWKt4Jisnaa1sR+F1andzKdWU/1BP/gD0jS4N6zA -X+D6WnRPP3gpj7zs3KxQxdogOarcj/Mqht7qtlaI2qv8sFKtH+m7/ukt17PC -9RVZ8xO1+onziNevukNWsF/06kqgQT+One60vbrVCtrSvydYZv14dFk6UlLC -CspacTRdu37cFVdPfjOXeP+98k4Fej+UFh4PkJmwxKbvBvrirv24w9y2/zbP -Eptt1YvGvPpxT2k8jVdlCbnx5/tqr/XjMC14mmy2JXY+s4hJud2PiJmSKy3e -WuKw6Q2JoKB+JM26Ns0n2BIlDVJ3z4X0I/nfqHvAdUsov5WfaRDZjwGmn8Yl -jiWeNMdf3B3bj9N2x2k6Fpbos3r9b1lqP8Zb9vUKnbTEmqNLLkxk9qOoSi85 -eJ8lDnn/Fmws6Ee1enD9og2W0Fqu5Zte2g9x5XnatMWWODZ71eLg2n7cTHkl -ES1gCflTpmHurf3YG0M/WDxkAcFfSxSMeP1Yts7qXUm9BTJ/qhQpjfRjx0pv -n9g8C9hr8sxXjffjQsCXV5w4i6n+YAB8fiprJJ5YEOfsG09bhQaQItgz9Oqa -BYZoN5U/igzg+UT8/CUsCxySE+oNER9AISPqqrWJBa4fHb1zcdUAJBxKtYPU -LZCerrvfXHoAUZ2rWNEKFqi+tuXrQdkBMJoe9j9fbYHmt27hUooDsNU9kHx+ -jgXK5ZUMp2MAgcdFaxXHzBE7h72k+/AAxgvma1a1mcPjgGRNnuYAbmftWnqq -2BzbS1WCXugOwHyv/67kJHPUpDQZ+RgNwFJhXszEM3NYTfRJ21oN4PHb1HNr -fM0RVvqEd9RpAG7n22mSLHPoumwI3MAegKF9vf1PI2L+phubZ3sMQN09xO6R -qjkWL/oU2+89gCNxio4Lt5pDaknj6uIbAzg6K5hxZjkxX3eee1TgAIy9y9zZ -08zx4MK1XL/gAXAlq3zNB83A3y35yyF8AMGdL4LXVJuhfvG9JceiB1BRcDQ+ -/r0ZcV5pldycOIA5pWnFi1+Yob+ef/ncjAHo/P7dq37TDFJOE38GcgYQfmTW -bG2O2dT9AZGfFJ7sJmMzDP5kXY2qHICV+j39msNmcBv4udmvcQB1/KLeOlvM -4BF9PNO+cwD6DUaJzxeZYWzXBSX1gQF01JzrzZk0Redtn5cbRgfAGjNfndJh -ioOJNn9nTg5g/u6Vhu6FphALk9jfKziIzKfhj8ViTXHW6K1jwexB0GX+tLjf -N8XiTvGrL0QHIVspKZ3qbgrlHXp+V5YPYjJ0KT3PnJj/pJO7peQgygOaM8OP -mhLvNzQ4tHEQ8ZF0UT1ZU1wYlJSU3DaIx21VtvVipqBzAlYI7BlEDLNM/L/f -Jshsv+DbpjwI0UdbXOa3mIAm2+v8UW0QqTqDrNhsE5w3LPkUojWIVyFz1/G/ -NEGvw+agiwaD6PC66fXP1wTxhjObzc0G4fCD7hPlbIJGWdMHKnaDUJhM3i2s -bQLrju0F0vRBqN0zerBQwQQa3MuOs1wHEZFtFVK0xAS3ho77DHoN4oVwyGur -n8bYcfj+krJrg7j8VtH+bpoxdnka/Jd4exD/PG57rfQwnvp9YRB8zDdD0w4Y -42ygznWPkEEE3vSPOypoDFe674h55CDelcmX9OcY4aecUvHR2EFc2f1Aiejk -UVHqsFIudRAVnz7OUD1shHla4p2LPw4izfPNWoEZRohI2Cfxt2AQG84aPVqa -a4iQ8ZayrtJBbDYus/a/ZIgfK/+Ol9QOotJHyNfkgCFeST0ITGodxEQt3/Rb -f8/iw5w3L57yBvH+eEbVindnsbUastdGBiE2uPfPDM5ZCHgd28QYJ/L31tNN -cyvx/dyaJ4Z8Q3B45H3i+8AZ7OP78OOw8BCuWbUc/R1xBo9bep5unj8Eu+KV -O2xMzkDz9cngBUuGIFWyf+G+pWegbT45+G3VEHxtVNu4ZQaIEeLdLpMeQvaT -7aHLrxnA5IHYtWjZIWQ6Tz+1dr8BzBZdrLiiOATPhrRfgT9PI91DzsUQQ5ho -07nlGHUaNpWrzOSPDGHXpYolUaanYbf4RITA8SHs+6Bw9+Ti08g49HFfme4Q -lt7z+GdYqA8bY4ZMsNEQwoReG5a560/1B0PgE0uPfi2nj7TTD8c2OQ1h0bvY -4YF2PZjsEmkcYQ1hcNJXMjBAD2em54rGuQ/hXp3a0TAVPbzOePeE5j0EwZND -Jst+6kLT7Pv5TTeGsN2cbTcWrovD3+3fdAUMYeX8Dss9p3Rxj7Z22+PHQyjW -267dLaiL7fWrZp8IG4LObrut47GnsEHujAJ/1BD8ki8LuhifAotekxATP4Sr -Xy7lnZhzCgtDAq6ceTcErYvWbvdTdDAt/c7radlDaP8iu0bFQgdq2WWSrwuH -oJjSmHZaRAcLfOUW6JcP4U+B7SfDFG2499wIFKsnxjfLy+oMtXGztvdta9sQ -js8Vryz5pwVVo0Pm6b1DeL9fsXTXEy284gZHvfg6BJsN2/JW7tJCgvQPv9Bf -Q9AvnJV0rvQkzOzVp0XzD+OCxPunxyxOIuXgs4X5M4fRtunYpaDRE0h6+z15 -ZMEwHIaTTQy9TuBsnMro+mXDkLX5qxg06wReqN7Lp68ZxqMtBxY+lDiOR4wu -+aINw0Sd2vvyq5TmVH8wDH65Eflda46Bc9Wz6NWeYWhUGMTQlmjAmFb4W/7Q -MGZ0nJd4IKSOkUHRjwUaw5A31vWOGT4Kab6z/zmfGsbYkZ6mpFJVTHsRunCt -0TA2BctuePPqCHzae4L7rIZRZSRrF+h+GIlxGzM/OA8jx6872OGoCq6IO50L -4w7DQ/pU7rZ5h8C/IObTA69hnNji1tpXpIw1T4fePr4+jLsh+sO3Lx7A0MdN -sm/vDuOw1+BXma37YXjO9lDF42Fsf8QoNU7cB3pu2Mj0iGF8nOMWdmx8NzKk -s38tjBnG6ZFrTz4d2IlPqyPX5iYP413zzj9eETsQEK/LXJE5jN+1VzPZ67Zh -TVNR15KCYRCnHd6Lj3KgPRF2TfsyjHUNs/s2jGyC59gcef6aYTQtsCv2uCuD -47zaWYNNRLxGwlx1Rym00ByEPDqHIZfVPXDLXQJyt/PXJfQNI5A9S/eJz1Ic -Otpnc3OEWG9gvsWcSwuxOKiyROTnMD7lzpxTWymEVxeunNoxMYyzDjN7Rn// -VPpffzAy1SekvPs/Y4lanw== +1:eJxVunk4Ve8XNk4ZCwmJIlOFQpIhiWVKRIQUGRIyZ+Y4QzKrVErxKYkMSaaM +ZV6GY54dQyUKoUEqIRnynt/v/f7z7j/2vu5rP8O97rX2Ws/zXFvMwdvs8iYG +BgZ1+u3/e/6/18eqN0VbWQhqKiDKGbZDfv1vrXLQpa6anfrAF6QjnfR7C3Z5 +3PDe/NoMRF2oshE/+DDxH4eNYa8V3D/ZesbroxAOVAifG8m1B+OCIY2TWeJ4 +/vKWwvQsJ/jRr/rul6Akfg7rrCK/cQXqvdsryh0HMXKbE9FZ6wo4LH422Wor +j3tiXAOKfvoAs/fmy/q/FXCtlj3qqI0/VColVptlK6HLywQv/clAiDNIO3eA +chQtLTkOj0cFQ0jO3iM9XmrYVnGlc5MuGSiWomZaoRroyxcgfoszBLbd+/Cs +ukcTQwY8t8i5hcLy1x3vX9tqY5Vaj6lqWxisq5YY8nzVwSm4NDOzPwJ4Ql4v +dAScQJZx8Q7V8Eg48Vp8+NO6HgqK8K3LjURB3NTCvE2UPu5aUbzacjgGvrDJ +6StuPYXb/aIMN0dfB3ux7iH3OENcjmZ2nRi+AbNygykMPKex72hZv5NkLNw5 +rPN0Md4Yk2MexN4MuAWZfLe+72U/g3b+GfHmeBu2bc15/q/lDG5ZG59B1jhg +oJD/sUab4iB3dtPM/rsQ4sIwr6ljhqLzQRr1rXchtuto+IsNM5xOs7XzdLsH +EgV7X2pVmaOoqM2+L2zxoM1ODWYPOotDnn73VZ7Hw88x/g8M8hbIcv1p9nnd ++yBzSHhC8osFFvhOOxl8vA8//w6FhaSdw35p3VYO8gPQBt1aJqvz6FpY0Z/G +lwC7Npz+q9xmiRTmk5Fs+QkQrqrK+bTJErdIz77X1E2E4Lk6kTKSFXLufvH+ +1LtE+CW81v1X7gLeGrkasd/7P1hr+brLa+ICttYRv0dufgjvPteVnk6wRh6x +POWdDx/CBKX49MBJG7y4xndxVOYRsETiFOOKDb4yK3Uern8EZv9mKEO5trht +783TzOeToPLbQV4zWzsM8knkdZlNAkOj2OwAros4pvK2fC3sMSyLsKhr4EU8 +SzJTb96ZDK5HjF2HVeyxU2VTWmN+Mlzifn54Ms4eTX0+Ty7oPIEfWbdJDTP2 ++EaCmeXCuyfA8YdRJxAuoYeZJetvnxSoYWCKXU28hOz/v39SgYF638xi7hJm +i6Y/r0tJhT7NokfhJxxQyt4oY2XXU1Am2NhHJDtg6HeHiQLnp3DAJSHr/G8H +tCnn2361+CkUc9t4bhg4YmXdpUOX/z2FVv/CItJTR0xhOaXucioN/O7GB7Ut +OSLTza5jEYlpkOe8qeqbkRP+PDUrWTGRBqSljZDxdCe0N8hiYj+UDm8gtiFn +2QnPRK32+pHToVk7M+qk8WVs+/ft1lJLOhhsnGp9nXEZG8oIRx/wZYBV4LWb +q8uXUSsnhWZ4KQP+ZWp18hg7o8W9VVmuggz4w/JSbCrdGQVEpXd2rmQAN9/U +ngt/nPHGOcm4qJOZYNA52ehr6IKZiksJxx5kQuqhXG6ZVBf0rkw4+O1jJvBp +qDHfmHfBiRnmM//JPoNnf+6nXT/hiqw1epuB9AwsbcqmDjx0xSFVyxMTzc9A +yjO1z/urK5rbHeMP480Cfkmzy+ePuyH5wPfA3fZZIHa76+n4bTc0TfFxKsrL +AqOU7ZFcH9zo+Yc6rv03CxIu7Nk2dsgdWa9++d5z4jkwNPzWOhPqjh9nxq5b +xj+HyIF7Qpd73dF9JbP4/dhzkIxfThES9cCUUjUPm4PZMLMi2Rbk7YHh/BnF +w4RsaOUSfexf64HcoiPXjanZ0NL1kY+X0xON+ia/13G/gE8q7soW1p6oeKBm +XM72Bew5Xb2h+sIT2w64OD188QIInMM+9UueuIU2Hri++ALmgitvfNO5givi +svx22jkQGed8qvzuFUzcZXCi8k4OqJqOFEuOXsGxCqXNPCM5sLVWoFFV2gtp +DL9NLkvmwtrgHvJcgBeaHp2jvvLPBR2e0i9adV6o8uLBKltdLojMpgjLb/XG +cPPp39YceRDr+IG/wsIbFVUm819a5sHN4MDB96neaHg2VprpWR4IHLxk9fiL +NzbnjDlb/cqDx+qmpiRBH8w5Nnr5pXo+BJYmWy6o++D85pj9LDfzYcdKfIOa +gw+msbzPth3Kh2tcinFnon2wTPv9bJl4ART9ularkOODCuUx81zeBZCfEXRm +ossH+V3GKlyrCsBvr8CJi7980MHko3Yj60tgDHBKfsHni1y+t2/vOfsSLOKt +z9Wr+KJE59Qj0tOXEHht3S/rgi8m239xGZ59CdZqp+Ytr/qixs5OcpJQIWxp +1hp4k+qLH3cRM9u0CyFc5JPA/gZfVKlalf3rWgjUk4frND/5otayuYB0XCF0 +a0i3S7H44VpfmKNVWSE8ZepUHpP0Q0/9qG03Rwrh+CNBlksGfnjb2k6oirEI +Uta2Hy1y90OnrZw3ZyWLoFOurLM71g+/nb9nuce4COoObaZW5PmhpNa3mDMB +RXBtY1XYr8sPSRd/joUnFUGt3nLc0nc/1DcM2ltWVwTHCs70nODyxwdMVy1n +povgtxLz+4ty/mgVy0QW5CyG6dEdr3SN/THlA8ctwyPFsCMr3Hbhij/aMSbG +XrUqhrA7hv1et/0x6Wcq8eW1YpD+z4evNM8fTfMPnBt/Vgwcdb8kqB3+GKOi +LMbbWQwy7D0MqV/9UTmu4Z3ufDHE+LHl6rIHoENpT3iQQAkIrz0RL5MMwC3P +bQWzNUrg57M4l58nAlDBxTPlrVMJMPiMEpccA5C2sLp9a2wJGF6IsWoJC8Cf +JhwBx4tKoN/pNotjagDeDH5CvTJcAg/ifoS2VQdgitfLzanrJRD/Lr915W0A +yitoH+6VKIXOE62jS0sBeLzR4jTjqVLQ6tOoq+ENxBaxr+cVfEphgbLdx0Q+ +EDtPb5xxTCyFj/qa33ONAtH4ZMLRB9WlsEWpQ4XmGohGXHlcTROl4KNVbNIU +GYjN6Tq0RbYy4PZaVAx/GojVTDbR+w+VwWzlgxn26kCUV1yUPG9RBqxSd11s +hgMx/v44NYZcBvJ/Om4Q5wPRKuq3W3laGYC7jMQlziCMYD+480tLGRwJKzrC +KxWEfKLXOwXnyoDzuHVVnHYQsrdtuXmK7xV0JklXDtkE4UWmEhPysVfg80RI +/nNQEAr1hwrn2b+CVR1FYerdIFRXCPj9PvoVuN/xCXPPCcLavbf7OPNfQQ2l +z2akMQifv+h4rUF7Bctc51/yjwbhQt2hLO+/r4D/BCNRaCkIn7lXJD8VeQ27 +RXorZrkIWJzl+rjvxGtgeNLoGyNJwAOB6umbPF9DV+3I01kgIMuQStGR+NcQ +HilwUsiSgCfbzrc6lb8GoTmiE78PAb8ZP5lJGHsNSasby+9iCLjozLathakc +/uXlMLmnEtBx+yON5QPlYLCJFNP4ioBKxiZB0qblQFi9EjbTRUB34YOvLhDK +4dbD6PnBTwTcdE3mX+yTcoh82/juzioBGfzNjWsay8Gpdt8xHp5gvPQ3NWvu +SzlInszjtpcKxv08PKyi3BXQ63/eLlgjGM1qXnibKleAva6khPXZYKwI7hoK +t6mABqWtWWzuwajSMKlQGl4Bn6nzsmHXgrH30WzEVHYF0H6NVlIfBGPYxkwb +f08FhFd3GtFeBKP+70Em/cUKmN+Lk3m1wXR9XikSd1eC5MGya6a0YJSIumGV +o1UJ4j0FovUzwXhIwsR/xKUSRrnzmldXg9HGhDWc404lXPyZ58vITcQU9uIo +9dJKyLhSJNYvQcRlU5MQr3eVkHu9YuiKChFdpT54pDJUQbBW092BU0ScvHXJ +uHd/FTA8HDBhsSNi9LWhfYynq8D81gzvZl8iAoPG/GH/KnDf8+99ewQRubc9 +LHF4VAUnjAVybROJ+C/3k9t9rIKp3cohVdl0PCSxgzpVBXox5899qiSiwF2L +soWt1eBxl3LkTScRjYaJp/YpVIOlyrMd/40RMTHv7oCFZTUwUfrWRH/S+W5L +Mo8OqYYAO8YvgYwkDGRMbHmVWQ05Y4rvEnhIyBoWKT/TXg3pax69oRIkLLpz ++c7OX9VgV57VoaxIwqADquMnd9bA2Papjte6JExTrlYMVq+BN/ENjkwWJAxP +yH2Q7VgDjE3v9glfJqHgSVbGtzdq4EK2LM+mQBK6GPYQ2QtrYPJ4lVRJJAmd +0zdvqA7VwMPwCDf5ByQUM8q8675WA2HE6AFiBgnv6RfJPRavhf/EqG5xxSQs +++/A2w79WhgjHZf2rSfhXVXuu2tetWAe9YNHpJeE4nIO5rIJtbCiObr/0RgJ +3QJFJeyqaqE7n/nyh1kSOm0z3LgzXgt9Ha5dv1ZI/1tfIzA+ZrHvZSNjgNrU ++5+yCI67PwiR+cl4r+vsmNhZhCWj+c3zEmR0LpafNSMhlB/S3KF0mIzzX4JZ +Ip8i5DS2ntHVIKNigKxsWTNCJ9utVyKGZDxgamw/PYsgvHFDr+U8Ga/Ufe+J +Za+DlKwGBjUnMqaEfQ3MFKqD0xtKUyQfentPYfGaQ3X0fP3pdzSFjDyh0QOD +2nVwjNopc/E6GS1q9t2es6gDkvzPuM0PyLggsWrI6lYHn08bCwekknG2hGW7 +KKUOooVmBgpzyHhIZfPQ0bg6kJD/aldZRsZ8Qu4D0/Q6+E8oxvFeHRkdAjeM +3Mvq4P14zYRSBxnPym/8C2+tg8Vrd94/HyRjaHZOzuOROphcWj4z84GMn0YZ +z5TO1UG63josfCFjRC/Tj07GelD2fvyi+zcZbUJLYqb46uGJD+120Drd3u+c +u/5J1sPwqWez31goWLWTL4tfrR6m17mb5bgpqLnRdPCQcT203ODfBYIUZMiU +yD15qR7Ic2WfhcQp+JtZdq99QD0wyvw43HqAglL7JhKDY+rBRrthUfMIBRMY +dTbfS6qHW4cPKcaoUVD9sYnbi/x6uPNX6XuSDgX3zLO21dfVg2PSkCjFkIKq +LJ7i72j1wMG3veeAOQXjhsmB89P1cMN56k/WBXp7Z5WGLSv18O6e+eOflyj4 +uSiFTYKzAf4lWFewulHwW2WRvppoAyz5rZvOelNQMswn3PxIA9RJqV5OCaJg +CtNImYdeA9i95votfJWCJid+TURYNUDvnpBV9wgKHtMpYU/2bAD+izEhUTco +qDBIkC+9Rh+/bVTBK46CW/sTbDvjG8CqSLFnXwIFm1U573161gCSO26Z5yVR +8DLHWPtaeQN4Lk4i41MKThiwbd3R2QAiZsf59j+joPZ8rKnshwbQP5hwRjCH +gpHMbikn5hvgU+hcwEgBBZ9HpP6yZW6ERTP9MM8SCuZ6HjIMEmiEwLT0wM7X +FIyvE8i9c7ARPD3XTVerKHjhmvX25xqNMFxiyf8XKbj5xZ+raNoIxYTShqZG +Csaof/857NQIDOXc5y+1UHD++HH3n4RGoAZcoXW0UxCef/rCFtsIK/ntKszd +FPSmTHuLpTRChqNUJGcfBUOrtdZUixqhISG6/BONgn4uS3fMqI1gqjs1cHOI +gnpXmaQ8hhvhrLfu2403FFxd92iJ+NoI7fyZTTojFLz/ReZK8nojlB5jSjo3 +StdP86RgGTcVeEeczh39QEEnxqqOLgkqTPxpWvn8kYJPZa9HTitTYf89yRjX +CQrWUgt0NgyoMJZ1Y/XVJAWrm+XYBWypwKY6e37gEz0ebAu65X2oUMGgc7p+ +ij5eEe22QQQVNl6F3QqZpuDdxhQ9h0QqjFpn7+CYoWD6/W1/SS+oYPbj1Wc3 +Ok4U2//sfjUV7HzzmR/Ssf+ViVN5PVTYNBHrmUjHRwi6X6kTVDiuZSZ8mY6H +NYwiRhepwBnHIMBEx47UvzuW2JogqCPRxp8+Xy/r6XQuITpe4vtWTOezn11P +SvJQE3Bykxsb6HztWj89B+0mUBNom0qn2+N/QlrM0qIJGLZtmJrT7Q0K5X3g +49oEdgtCbAN0PS4SMxhukJvAtFWcbR9dLxn5t85pd5rg3U1uMz26nh8yS5sr +0ppgTW16SoWud9Cwkmh/aRO8Gslo/Ev3x3yLjf/XliZgcTf4Fkv3l3mQdN2m +kSb4Nj1kM0f356OJxyy755rA3cxIQIzubypHqd4RxmYIz3shvJceD4MLvqGG +fM0guzjn+YceL+1JgyWOks3gIyPE8pgeT5n/xj6SjzWDodnhL1xUuv6id1gf +nG6Gamc5fvM6CtYdUZfLs2+m5xvBI67VFCR66llT/ZuhPmh5yricgtfbX9x5 +H90MB4kdcqylFJw77dK68KgZhgnxnPdeUjB/KYSNM78Z2vxOh8/Sv5dm6k/j +fXXNwOi+dkswi4KK5dWP1WnNQLRNlRVIo8df78ScxXQzqJ1Wdvr8mIJC2xz1 +vf42A6jWy99MpOADP/XsaI4WuC6meX/9LgWdV325UkVaYAdLSax2LAXvvGAg +v1ZogS/Tgrusoij/25+2AAM1QEPrGv17DpRx+WzZAheeNC6vBFOQ90HbNINn +C6z5sRhE+9Hzy3Cdp+C1Fvigq35o0oOC1zR5/h6ObwF2Xtfc7Zfp+bW7JvbU +sxYgjEbXbLejoHF4417H8haQzHx0efIcBY9aS1DJHS0g4vI0I9qEghSrUbcH +Y/T59j8mrJ6koODVXzvyf7XAu/Eb41qaFORovtjaxNQKKY88x62OUtBKUSps +bGcrPD+tQ9CRp+B6yynNPwdaYWGdM+OfJAVXQluYuTVaITqn0ylWhILvV3U7 +pExbgX/TKauv/BQ8NOh2U8upFZxLzggLcVFwgUNZ5wKhFa7UfiwUZabn94wX +i343W0FBYoV3eZWMff9R02KftELReLJe+jwZv36NPplZ2Apf/rbqi9Drj1/y +z+nqxlYY8wjZ5U2vTz55jKGDQ61wV6Wm6h69fr3fVckz96UV1myiZGLo9a1y +RjCVZb0VJN4PeZrUk5GNV2yfCHcbMJcVUb6+ImNbck+mikQbpM/ssLHMI+Ni +5L49Z5TbYMN/89ZHaWRM6hC/52rQBrwXSDfyEslY6NOyHmrTBpOPSMPxsWRU +CuB1euTdBp5HmBYNQ8koM8RCLQpvg+L9OyeGA8j4MDFNuD2hDUr8S1KU3ej8 +yyZ9JrLbwGvX2wOutmSsUeiqWalqg+ld16M9TclI4rJj4u1pg12BdUU6J8iY +o5+oe3CiDVikw/O+HyWjwXhgiM5iG7xU7gpyl6HX3zdrRdZs7cCTksZdKULG +MdmDH/x3t4PCxQ3iex66nuMMLLfk2mErabq4j5mMnQL9Mpla7XCU3K+dtExC +6z/zVtVn2+H0D40i5W8kDL3qeHvApR0Mhg7+yxoloVauRMssqR3k5B9Jf+sh +4cPQI6zMd9rh71r0kc0NJIxcjz8tnNYOBccXd/8oISGbuHaSUim9/4/JiYJn +JJSY0/x+uqUduvnMr2v/R8I3l+L0nN+1g1q+NkfOdRLuvSqbFfK9He6UF3tN +EUnIormb4z+GDuhQzni54E7CazmWwS95O2BOkq9n2JqE16unvrbs7wCGW8xd +d4xIeDiowfGjagfMOxBeCKqT0G94bmLZqAO689ycgmVJqPfR3XW7fQckuL1f +zxEmYfFd5QVp/w7QetQTWMRJwvLPptHa0R0woKbTGbtOxHOfq/dYP+oAE1MV +xmPfiXgrjlDjn9cBJW9z+arfE9FqLMzhFnbAxsBTFh76+rtyYITzWX8HHNHh +H1arIuIrvyismeoA470c0ao5RNQrDyEMLXfA2eBw3q2PiOjzrEXpx9ZOACBe +K4whovwx+xVWkU7gIf5skw4i4u8VHhRV6AQzVZUgghMRRTxzrqqe6ITo+8ms +SWZELLu9X9nMshNexIiG3NOk44sxn909OqF+R+NbWzkiik10PogI6YQW9chd +G7vp63++P8eS73VC3YajZiA7EdWWmUdKM+n9rR0M65aCcSr2b0DX606IMr2m +9nEyGNd6+tim2zvh7Hgl92BvMFKodxL/jdL5bd3RkVITjK5u8nt2/uqEpu7b +7uo5wVhT+yr1EFMXuMjs+1GYGIxBVLHd+ju7YNPeEcu/4cGYTvK9a3+gC2LK +Xj7n9w7GY+PPNoLVu2DjY/oIm3UwnU+t670z9P5ZpQs9esH4DKs7Xjh2QSPz +1LyHQjCSVFKlGoK6gGvjyNB74WAsv+h87d2NLjgTn5Yizh6MTkd5eueTu+Aa +yhqpLxCQXJ++a2thF6RFvxmT+UDAlZWdFyUau6B4Ju3crzYCfvrk+0RtqAvK +J26U3Cwl4PFrhYPmX7rgJeHu4nIKvX0bjdVzrQseZpUJHb9BwL1NI0cit3WD +j/dfqbP+BNRTMryYLN4NkTTfaC1bAn4ey4wrVeoGwQHFNKaTBGRv+Ezt1O8G +WV9t4hN5AuaN8W98su6G1pwkLo5dBOxXPKSx7tUNE6Hql85sJmAg9XD4jvBu +CPl9wNd9Nggf397TIZvQDcmbHDUuDAah1t1FAb3sbjhWMNUqUhuE7p2vPOyq +usFmqZi7KisIebQdGoO6u2HzSPsOmbggPPbrj0jceDfst5F940cIwk9vCWHP +F7qhm/jufNzFoP+dX/cAg2L/g7CTQVh2Xtn8za4eyLnJF2dwKAgnZwMaf8r2 +wODVNL0Z/iCMr3pylF2rByI5iVUX/gViXWNhsdjZHihWffw9YyoQfZkLDh9z +6QGnTWyjDZ2BmBaaUGZG6oGHLnjjdUkgnlVy0fC43QNGbvW/w5IC8bqERGfE +0x6IYOXaLR4WiKqGbXbJJT2gof1s4z+XQPTKs1oqbe4BgmBM2uTpQNx3ciC+ +620PKNwuYWBWDETL3aqK07M94PPkgPCaYCByS19/92+jBw6dml1q3QjAyP1S +DbHsvSB7Yf6Hx1QA/j3MLSDA2wsHHii7T7UH4DflNa9MoV4QWS6/rFQYgFZK +s83y+3uBJ5o4YZ0QgNqyH0VqDvXCJo3gj5akAHyx5w3RQLUXvouUXZS5GIA3 +2Qfo+7NeoB2Utx/SCcAv32nyDka98OrSl/FzUgHY0DFM36/1wsP6j5/yOQJQ +IOPDN9LFXggw5Hcb+emPX/2/nWJ16wWjjRteHwb8UVljNee+Xy/sHVVbrCj3 +xzXGbRyilF5gmDq47p7sj1q43ysvqhc6+C9Ez1/zx81B2n1H4+jj+zXfPeNI +f7/fQanpYS/YLvsIRen540pPVJJpei8IZ1tJ35X2x0P++Qxjub0wGhb62pfD +H8e3vXVxL+uFxKipZukffsiVxda7VEvnU3L9fHmfH5YrHVeNaO0Fxq3ubsKl +fvi+1j9jW38vFNy6sWaZ6IchWi+5kkd6weroDLdHsB8m1cyRpKZ6gZkrIsv8 +gh8qHFH4XDrXC885bWt4jvuhQTrxnNZyL+ipBFpkC/uhHF96ZRdjH9wTKODc +seGLKvHX2S9s7YNj8DXS6qMvOgrJ6kzz9YFarnRVYL0vlhTHufjt6YOHNp6F +bum+uM+iMOifZB+YmRc7KUX4Yu3mOJ+bh/vAJW51+I2jLxJrD1rwq/XBILf+ +lrO6vng+Knpvum4fpA//t5q11xcvWKaOyRr3Qcvk19w+Jl8MUwmKqDjfBwZK +WrsHPvlgu/hW3hOX+kCqM8mggOqDR3fZ3e517wP77D/K9pk+2CTsPW8d0AcM +7ecnv0T4IFlWQ3vmah/8kK8yNnT0QXODDopfTB+ojooRI7V90NiXP339bh9M +dsc6JIj5oPczkeLrSX3wifnvlhAGHyyems7jzeyDUCbaJ0qtNwoqeMan5PfB +Qkzl4XyyNz67+dJB+nUf7ErMXrt11BvP/igULq3rgyW5JyeEFr1Q0t6nUaO9 +D26aPeSwLvJC4dHv5m20PnjLkGRqcMULVZyle8xH++CdajrfjJQXBqyKK41N +98Hd9UILxU9XcDD5XZTrzz5gMmrmO5x6BY+lx23//bcPIo48zXhndQVDHcOk +Qjb3g0fDmrkc3xXM+viynZ2zH1qXR/bJdHtioeDu5QT+fogaUhcciPHEZK7G +QnHRfsizFpfbr+WJbvh84aV0P+hcv+EkvuKBAjJtjepH+kHdxr+6tdgDc89K +7uk83k/3zwclQQ8P3KPasNlarx+CV7p7eSU8MPDto4CvJv1QQtW8Xf7OHXOV +CnxIVv1goazkwRrvjtTTG3+2OPaDm0meO4OBO1aL3d6S7NkPG9uyYjM23DCp +6Hy2bFA/jBPEu3+WuaHlul0fXusHhRgxhc8ebrjMlBFldqMfvqpnvL4h5oaU +Vommqfh+YE9+bjc45IofTo7HEZP74WmanGRHrCvujxiZ4szqhwTjo9s9NF3R +hMTTkv6yHxbSqUJlCy54QTb6yNGKfqhNoRm8yHZBvWTlg90N/fBH6/LDkzYu +yNciUeDU2Q/3bxHZ7m5zweb809Wrg/3wOIQ7KbzBGc+dKbW4/6EfeAQOnBYJ +dMaOgvOkg1/64btls5izpDPaF0ufbJjvh9KpgUqPt5fRRFforcVaP8w5nKjS +jb2MyU6H5KeZaXCzd6fEr+OXUY/X0ch/Gw3i5Yzmfeac0PDMa9l1ARrwXp2Q +oqY64cvdMgMR4jRYqHrX/PWME3oH1gOrDA3gm2LnJ0YnvGVL8IlRosHill9H +i4sdkXngtDMT0GDP7m2c5o6O+P6NrliIPg1yd8fpt/A6IpenTdqCKQ0yOXy/ +cVEdMOX2g2kXaxpsmytdlA5w+N/5AQ0Y6i64COx1wF6yc52uFw2OhztovqNd +QoI+g0UBgQafFDtDvSMuISmjsoAvjAZLw/9JvVG4hG9vJXQRbtKA7NGkwjdh +j4lM94qG79PA6cfZAol79liwJf+C4hMalDvq3WLRtEeJ1C+tt7No8O/ukQzi +6EVcqtdbm3xJA0u1lLVbxy7ifn/qgnIFDaKaO/ee/M8OC4sdiqMbaPBcAXdn +/7bFR6FiSrQOGkReCxx/aWKLY+8ZrgoN0kAl/0egXa4NhrYw3HQYo0F3lezb +EhYbdPL+5l44Q4OdOwZPtdhb45XNsXLbf9GATSJ2S2H5BXwc8WEgcoUGKVMm +Al5cF/DHj8+2W5kG4KuNRACjgxW66T9vy+QcgJ7rzHvcSyxxy+1dAqY7B8DG +bWlH9iZL7KrRNuAWG4An/xatq0zOY8U7EduJAwMQpM/0MyPpHLZNFpm3KA7A +Xz2x/kuTFvjvzbIMagyAxorRpkVpC7SqXPjcpj8AXA4xobZeZ3Ek5lnMjNkA +RIb0Gj0uNKfn963sArYDwHBGyqXgpxmemtl3xdplAJoG7/QkypmhOnGxpMh3 +ABS4maLPupvi2T/E0V2UATi7EXNzJuMMxl8q+ZIYPQC79ZQqU1+Z4OLrtDf7 +7g3A2BJhdZeYMVJWdHNaHtPn3/7mjWaMEcpIP7GjZA2A5wMLs+1fTiGDbs6i +TtEACIT9dLmlZ4B/T3l676kegCPD2YLFqSdRQGOyY2vLABATQ1zCF06grfC2 +rRz9A8CNvqbrurrY/PmHjMjoABw3DR8Wv6eN555GHT7xeQCuGBX+nX+jiZo6 +nonqvwdgz9h1E0VjDSzvhbct6wNAmuPKuMGhhlX6DCuTrINwqH3++9SsChrn +5i/f2z4IFT6K8gY/FNFz+eRg365BsJmguZXwKqCgfE9chsQgpGukKxmUyqG5 +mY4Uq8wggLFltGqUNO6+mJmydmQQeBbamlSS9qL3ufn5CLVBmLKfm4mZFkHT +o7L7nmgPggZznVi16E6sZ7JQ0jcYhMXc7X4CzZxYW+UmEWUySLdH4vXHsrVa +PVuPH+YWg/B//99prfo/iIw0QQ== "]]}}, {{ Directive[ PointSize[0.009166666666666668], @@ -366671,7 +366414,6 @@ T87z3ysrEXxw/mlNdhCpnFRyVkXOAZaf1oDSf56CA3r+AgAuW3qa 9.806249999999999}, {151.134, 10.8609}, {151.869, 11.5047}, { 154.03099999999998`, 12.2922}, {154.03099999999998`, 9.700000000000001}}}], - FilledCurveBox[{{{1, 4, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}}}, {{{159.034, 9.2875}, {158.49800000000002`, 9.2875}, { 158.05200000000002`, 8.82344}, {158.05200000000002`, @@ -366778,7 +366520,7 @@ A+fD8geMD8tPMPdB9LND5Fth6tng7t3qtcFizk4mePh4bPU/nsz2xx4cn3x6 Rational[1, 3]}, All}, {{0, 0.3333333333333333}, All}], PlotRangeClipping -> True, PlotRangePadding -> {{0, 0}, { Scaled[0.05], - Scaled[0.05]}}, Ticks -> {Automatic, Automatic}}], + Scaled[0.02]}}, Ticks -> {Automatic, Automatic}}], FormBox[ FormBox[ TemplateBox[{ @@ -367022,6 +366764,7 @@ ifo8zIz9bN2cLkI76c85mc3BKlHX40nEv1cZbQIsiQnJ82nzxvNC0PWnuts4 a/OhsTZPWnw0zwoEo6TvOjCUEl23Iz3eBNLHdWG6PtSe08zyvKW+JySuWs50 vlLMev9o7w+N/zpn7X35D6oUFoc= "]], + FilledCurveBox[{{{0, 2, 0}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {0, 1, 0}, {0, 1, 0}, {0, 1, 0}, {1, 3, 3}, {1, 3, 3}, {1, 3, @@ -367971,9 +367714,11 @@ zzwNgaR/VQUH9PwCAMuMbZk= 3.797177911881115*^9, 3.797177978984799*^9, 3.7971827191193533`*^9, 3.797325680232698*^9, 3.797328129225112*^9, 3.7974378632586193`*^9, 3.797496501221438*^9, 3.797496544916484*^9, 3.797497229238236*^9, - 3.797497557149328*^9, 3.7974990792101297`*^9}, + 3.797497557149328*^9, 3.7974990792101297`*^9, {3.797504625033785*^9, + 3.7975046667127867`*^9}, {3.7975047026889772`*^9, 3.7975047364393587`*^9}}, + CellLabel-> - "Out[258]=",ExpressionUUID->"93ec7229-5faa-4ec7-a9fc-37ee164cbdc3"] + "Out[343]=",ExpressionUUID->"f7bdd1f0-2028-489b-a302-246ff26b6ef9"] }, Open ]], Cell[CellGroupData[{ @@ -368032,12 +367777,10 @@ Cell[BoxData[{ "\[IndentingNewLine]", "}"}]}]}], "\[IndentingNewLine]", "]"}], "\[IndentingNewLine]", RowBox[{ - RowBox[{"(*", + RowBox[{"Export", "[", RowBox[{ - RowBox[{"Export", "[", - RowBox[{ - "\"\<~/Dropbox/Manuscripts/FarDFT/Manuscript/Om_H2.pdf\>\"", ",", "%"}], - "]"}], ";"}], "*)"}]}]}], "Input", + "\"\<~/Dropbox/Manuscripts/FarDFT/Manuscript/Om_H2.pdf\>\"", ",", "%"}], + "]"}], ";"}]}], "Input", CellChangeTimes->{{3.795228474820265*^9, 3.795228486349724*^9}, { 3.795232866323328*^9, 3.795232868634223*^9}, {3.7952563831629972`*^9, 3.7952564091280003`*^9}, {3.7952564479537888`*^9, @@ -368051,9 +367794,10 @@ Cell[BoxData[{ 3.797151963216337*^9, 3.797151973861504*^9}, {3.797154140746769*^9, 3.797154143844322*^9}, {3.797155306046599*^9, 3.7971553065297213`*^9}, { 3.79717375107717*^9, 3.797173769798648*^9}, {3.797178244963842*^9, - 3.79717832436782*^9}, {3.797496867776733*^9, 3.797496872224091*^9}}, + 3.79717832436782*^9}, {3.797496867776733*^9, 3.797496872224091*^9}, + 3.797504731221451*^9}, CellLabel-> - "In[259]:=",ExpressionUUID->"b3f6951d-bf84-466d-8be0-5fa497cd2249"], + "In[341]:=",ExpressionUUID->"b3f6951d-bf84-466d-8be0-5fa497cd2249"], Cell[BoxData[ TemplateBox[{ @@ -368679,335 +368423,202 @@ wWXuD/E/fnbALQ== AbsoluteThickness[1.6], Thickness[0.01]], LineBox[CompressedData[" -1:eJw1mXlcTd/XxxtQGSqVyhhCJZR5CIuQFCHpSxRC5iSEJBUhhJC5lJAoNFEp -6zSgWZPm4Tbf7tQ83qFnn/Pruf/02q/O3XvtvT6f91pn32n2py0Py0hJSY0d -JiVF//3/j/TKyrUh37/j/h3ixrDZ68A/9pbfmAU/sDg/x/oQawdErv2YeVLw -E5dcPKU/r9YOpjoFuex3SUbXXMdlZeaHIdT0vej6k1RUnXr/UZ/nCXD66NAb -UvILHUrvPHJVOAPn/Gsdkpano8Wa65eOXD0HXxQ0zPMTM7Hkz1dhyvSLME9O -7m3N/hwcfnrsBN/OyyD6XbqsSyUPoxa/L/rVfhX6LUaVd3vno/y97/7fFL3g -1cSXsecUCrFLecn9tUbXoXjOrSyngCL8EpJbfM39BkR5FUzkrC3Gk2HBjfOy -bkFYSf3ozqYSPOz9d1GX6h0wapo5PNCtDP2W7kor3OUL+z4/G8aVqcBONHqc -8/w+TDRcOar8UiVuUlpV4MR9AGdOKI8/UVuFUWE+A3LyD2H3/nHzApfX4JIv -suvCpz+CUzdnvlu+loX/tD59sjV6DBd/lC7prmLhE+HVRZMs/WH75hnZ0mdr -8aSxV1WzwxPoXC5/eJ9UHTp0Rb6nLj2Fw9evSyveqEPHsUoP3t9+Bi/1nweP -GVaPL54zHwgyMNlg51qPrLvbU5+/fwFOD+7wBtn1aMJdMiY08iUoWRx72rG1 -AVNjNl1ITngF54/WbFjypQH3tvhItyQHwIcafm/miEZU8en9NPlPILyPfhzx -1boR6x49dNmX+RqcGgodmgMbsfl6hGHJ4SAY5vxJ+3RNI544r7vEuzEIDu+d -2LBRswndJyZkLT8UDA9DtD+c2dSEky/Yt3fVBoPPxrTTPOcmNL81LfSb3RvY -bCpeEfe4CUds7eW5l7+B8ne5Cv8+N6FFVn3Klp0hsGj/skrj5CZMhCKT2L8h -sG364VCFvCasFcQ+2Wj2FmY9vbp/fk0TppXeihr26y3E/rwplyBoQjvx5hc8 -eAdSz6+8CpE0YfReGYvBhHcgM+uAlkCxGdN6PuSsXPIeqMMLHjzXasZ7WWvG -v4t8D0t2tQveGTajakWG4bK5oXBUHGCkatyMljPXq/V8CAXLnctcanc0o9nH -L6nVMz5Azz4qUNmhGcXHRkNH0AfYOXlJbNDFZjx+yOaG4eQwOOX7PN7vTvNQ -fsJgzVfOp/rAZnRTyLw4V/0jZHvr3X0S1YzjY7javIcfQVnR2ubj72Y89Vzy -4p/SJ1Dc5KSuVdGMV+NlqlvufoL0JReprlayvlofT3dkOBiVnNqlP5yNuWHV -fx7eDIejs7dXp0xg42jXb446wyPAwnC65Q9DNg73vlrb5BUBAjYrWm0jGxMy -l0/Nl/oMpla+sn9t2ahr3ji7yf0z2DrrrOWcY+P2EV7Ss8SfYfa6Lycd7rJx -kUjp7YPLXyDq94wb696yMXvOfZVZA1+gQ3TT1zORjc29jwIcVn6Fk0qO2ilF -bDxrIX3j2+WvEKRzkv2ex8aRL+6nyPz4Cnc3eFUaDGvBT+Wrd5gPfAXDY18H -905swf+UlZY9WB4J9x72bJ+3oAUVjaTO5F+MhPfJVoUhpi3410ZFohgXCed7 -Mq8l2bXg89Mbakx7I0FiuPvg5XMt6HTpudrVJVEAZwbPVPm04PaLioGR56PA -6HvSl/rAFlx18u1lVkwUCGQeT7oX3YILdu6JGNUVBTZWXnGVf1pwIfOJBo9P -t679rWjB1SPmjPnPORrs5EOvOLS2oFXuBqMLkdHQc7zqfbAMB8/d8Uh61BYN -6wv1Bz3GcTBoVeWjcIMY2Lb24Y1huhwsatj1M9kxBpRjlVfNWcHBcZ49Kwsj -YuDuvNDpfeYc3K8Sq1TLi4GfETuXHrXl4Ldnj5dw9WMhfMGky26OHFRT849s -Px4L25IG+IuucvDq9W8eXWGx8GVL+32/+xzsbukL6WTHQnr94P6Hr0k86/dO -bNX5Bs+uzjqw9AsHBx+xmpocvsH0aYcfePzk4FpD9blV776B9K47vBc5HGx0 -8lUravgGJdFHz0ZXcrB1p0lAlvZ3CFoknpHJ5eCumjV/Uu2/w75yU+maAQ7q -jLnyMDH4O6i+M5fvUOCiTc2AJJb1HRIfD18hO55Lvp8w+qtWHNiEuTxR0eVi -k9OPHx/t4oBTFzh56lIurjeUjHofEAdn17r/1TfhosxjL3FwZRy0pqmFL97J -xVmvTB8EToyH/afso1cd4mK46ba0lzbx8HvFkcZ1Z7lD/okHrbnaxqZeXGy+ -N0P5WWk8OK1/kmHmx8Wnuu06TzUSIMaDumweRL7vMMh6Yp0A/NrgXWZfuDh9 -01ajp/4JMOnoiv0bf3JR9Je15FlRAqxWvf3QOIeLK4TRhc9Vf4B1/YNWo0ou -Vmamj31l+QMOlVpcWMjlYvVqrfZAPzLuSNXTG+Dihv++n3mT9wP2zm8bMVmB -h2PV/B69V0oEE/9CRSVNHq4+H279ySIRZk1xXDs4i4d5rgo/vvomgjALA/mL -eZg24wP1LTsRfgWmzypfz8OVy1UUkkYlQeHcPYXpO3i4wz1/capZElxRNgtM -suehUlejSYZPEqCJ/824Mzw89mLryr/pSfAsb+X9eA8eOpxRVP8n9xNGfl4T -S93n4bBL04vKTX6Cak1Qf04gD9dG3nFhef+EmIO2e2sjeKg9wUzcmPYTWo1c -qgYSeRgSbXuUK4uQfITrNiGbh5lXfn9vM0YwaE5csaaCh/cuXud2eyLMT+Co -n+TwsDvkqayQQshhnRsb2M/D/3UHFMjs2TO7WJ6P//22c/LSoiBPN/CAmiYf -hTPYBcOAgoVmq77v0uFj28RXOjftKDDEZfohS/i49JOro4I7BWk3HyR1buBj -bsG10DsBFHSErHc028nH7w+/F4xJoiBOdeeq0EPk+5xxbfcrKVAvSZ498hwf -L1UEDo4VUaAouL3k7DU+7jhkKf14YjIE7Pq6v+4hH694G3aPM0qGFPUFYf+9 -4WP/6uUVT22SwUtXWbkwko+/H5yMHu+aDOW+Zv5WyXysc8288vJ5MmSa1C6r -zOPj5KunjCfHJ4NG14bPp1h8NNZyUnhdmgxXl3A+jG7j47Y9BQVT+5JhuGKu -bvwgH00XPg58o5ECYa7tc88qCVA/NPbkjKUpcMzNKm6FlgD7vy1Z9d46BcxU -e/8oGQjwi72Gsq5LCphA2d6O1QLc/vG/xjD/FNgvJXSpsxBgpW9von5sCjy1 -3qvOshOg5ZjeJxFFKSBYK17BdRRglK71WYMu8nxKVYPsVQEKa9QsI1VTob1E -rDr7vgAXMHxLhSAv23Tb1wLcMT1SPdYyFU6niKRffxGgTcQ90RLnVPjvaQXF -RwFaFGU1xPmlwj7pgWFmeQLUfXr074rIVLgutSs3miXAtu5DiYl5qfDrcfck -/XYBBrVRn1a3pcLMnwVtX6RbcdVNtwBKKQ3eu7VuMlZpRSr+qZ+xQRqszjPX -rZveioa3VG6lWaRBb1zznfsLW/FWB8/DxDENcpeknjNb34q5fbPc0n3TIGVT -dZ3KzlYceEFdMotIg6L2ZcXsw62oVhZ/KTs7DWQNCi2yXVpx6a/Prha8NNi0 -Yv/lpJut+OiM0eW8Ub/g3eZHtxOfteKk6n2XLfV/wViHk24ZYa1YMXLS5SKz -X/DoBndbQ0IrZvaedrU+/gtmflaWH5PdioK3ey6V+vyCrMqK4HVVrbhWpeaC -Tdgv8FTZMuWWgDwPA+cr03+BxdZDV8oHW9FzbsRZO/YveJLxYF+AfBs6VfQ6 -seR+g+LplDNWY9vw3qbyU/Y6v0FZc+N5lQltWHPB6niDyW94mTbleMn0NnRg -Pr8h8tymbSH6bThpnLI92/s3bNX9o+OyqA1lrm+3Pf7uN5yvedq2dVUbTo2e -vYuX9ht0Xvz4aGjShiffvLR0bPgNB3YtsNbc2ob87W83t8n+gZkTRB0jdrVh -YPJ6E2ftP3CmRtNTtL8Nr7e7QZfxHzD9cEuq/1gbvqjdvMzF/g+EnjM/I3Ju -wya/GMM+zz/wcP2BwhFubbhfJkbXNfgPyGpmztL0bkOlheZTRdQfGBR4nzC8 -14YCbVcNd9YfuJ7xOHjr0zYc9m+NopRUOjwO7Uk/H9SGb/6rHOallQ55xlzb -N2FtqJ/kI5SFdKi1iqosimrDp8M3dXjbpUNalfmGMYlt2G44oUXOPR3cq74/ -Nf/VhnNAXOMTkA4KVj2F93Pb0NKwo3hUUjocXSs3UFbShnuH9ef4VqbD83cc -hdm1bbj9x9hfSqJ0eO0RJOvBaUOdnSsT/SZmwMUC3eaKzjZsyb8YrWqUATNf -XotZJW7D+/P/fPS3yYC35V9PvBvRjlPP6bzRcM2AvgdfR6sqtw/VnwyY+tPr -6Y3x7dgXPNlvYnwGTLDXGS2l3Y4rfKNvBZRmANst8PjVOe14ZM8eD62+DLit -yI4atqQdL48edzFYIxMG1Yc13od2PB/EOq29NBNMn3RITdvUjtYaP4+8s86E -g3ejR8RZkvXPROzTccmEHf0mPVZ72zEv4vN/Yf6ZoF4V/rf3MJk/J3mrfmwm -RCxtfBh0uh0b/zZtjCjKBA2FNth6qR3NYievMejKBKvtOcWy19rx2RWHZZGq -WXBY+cp/P++2Y6ZusuHChVlgZjKY7P6kHd+u1NaLtcyCyJnfV6wPasea1V7T -ljpnwaZauaYxH9vR3aB6fLxfFoy91J5aEd2ObopLVYwis2Ak/2xRRFI7Vlfc -HZmUlwWLV93XuP6nHd89rZGBtix4eGydr10+WW+tgZBSyobJzg8Wr6pox53l -lzuNDbKhwtpFeWpjOxof+MVNs8iGTI3uyXKt7XiveFSDiWM2tMSMtuvoa0dY -sbUy3TcbVsxLyquV6UCru/eLzCKyIenaCJd/ozswJ5v5gFMse0uOege+F474 -ZcHLhp2pB3dlTO3AKs3VSXmjcuBoxAX/jNkd6DbDOdZSPwc+n50xPHcRGU8J -iSgyy4GZ6sdDi1eT50fkv7M+ngM5j03d6k07MKhKGFDqkwPvWvFal2UHZrzR -fmITlgNfZ+UlKdh24HZr03uV6TnAWX5ptvaRDlzdd+yGHTsHrPV+ZKw504G3 -b91yZ8nlQkeX/0v7yx24Uu6ti71OLlCvFIJueXfglnOJjg0mufBzqnpJ1P0O -TPmbT3iQCwKPH2tqn3dgdmOjHds7F15cPMRSeduB77wGrI+/ywXl1MvxJp87 -cMN7pa28tFyw2C+d7RbXgZ+3zdzo2JALVtu6NWJTOrDe0wjaZP+C9qP/Alqz -O7BxueVSZ+2/kKiru3dOCXn+4jGDLuO/MHHsEasTtR24drWnjov9X1i/We1G -OLcDA28/1+rz/AuLyg3aW7s78I9tlIZr8F9oTYj3XyzVid+/ZymJqL/gJIg8 -e2VkJ1541ijnziLzn9O880etc6g/yIO8LfwKVa1O1LswRkQp5UH4JUMHez3y -/fkT+zy18mBbX61e9MJO/GSg12VskAeYIa0zYnUnyp5Z2iYLedDNv2+7x7QT -k7s38NIs8qDj0N2cKMtObEiyYnvb5UHCvF6X0badeCLjINFPHpiZ5+0+eqQT -d2meZcm550FwgobL7zOd+PGjF9FTHqS45WTNcutEe4+HpT4BJB6/dhufG53o -/vwN0Vce2PZf0xY8IPH3ROWNSsqD0ujrM3e+7MQm/1QitzyYkNy5/+e7Tozf -XpTuW5kH1HHff7pfO7FfujHNgpcHewfGXHuU0Ilh77spJVEetJ8+f0yS1knq -xwiix3zwyEq9dexvJ9rkqMf7TcyHEWP6WUVlnbhnqw7RZz7cXDTOeU1DJ2b9 -WhqpapQPg2s1V4YLOjHc0JToNR+cF8uAZn8nDtzfFeZvkw+VioWu12W7MLH+ -KNFvPqzJvd3aNqYLufqXgjVc8+HVWb0AW80uvH3Uh+g5Hzokn69lTu/Clwzf -yPNOE0OWzu3CCUimC8uHW38chW+XdqF8GQkvPh/Sh4XdVTHuwmPNGQS3+SCt -m2ntsbkLF3PKyIT5sGB+wW6BdReeq23xtmPnw96pyf57D3ThtJx+T62+fHDv -fjIy60QXro1QIH4ogFdfd8Qtd+nCHM/xrsEaBfBle2/gB48uzDLTI/4ogJ+l -nj817nThUvnlztpLCyB1Q6f6Tf8uVE8wJX4pgLRn5h96Xneh/f5dx99ZF0Bi -/m1nh49dOFV4hPinAD63fT1fHNOFKn4X7HVcCmDdrqu4AbtQWu8m8VMBzDnx -3TY6g5xPCrGzfwEc0du9TauoC/Pt3hN/FUDHw0NPfaq7MFpE0hFbAHmhZQs6 -2F348BXBS1oBSB2Nm767swtPrP5nFlFUAB6FUkd+irvQuI7Ir6EArDhRg9Pk -u1H1FpFzVwFcCs/lXVPpxlqDYcSPhdA23mpew6Ru/FimahSpWghxC9alGet0 -o7O3NvFnIRT1vPz8en43LmL6t0JY42DHHzDqxo46Mp1xIQx63PawMiHff0zC -sywExY1Tj4Zv68Z9pmS79oXgFKf1VmZPNypLzpAJC2HGv1uL/jvcjYmxJB2e -haAbsGf8x9PdePg0Sa9fIXgoP7MUXurGUfpELsGFoGewmmV2vRvD2V9JgOT7 -/VtSnt3rxo0fiJypQjjt+Kev4Vk3so7R9iDr3/94zSCkG8/NJXZjFYLEptf+ -YkQ3Du9slYU2En9BxBP83o33EwbJh+yvK2fK8JRubPUi0ykVgeo0w2mm2d3Y -Y0bC0yqCXbHhqTeLu/GdCtmuQREERq4bkcbqRknZasKDIuCO62aLON0oFUzS -YVEEq+qoEwu6SbxHSHrtiuCxeui9Q4Pk+blELo5FIIgK3f5IoQeFnVdIgEVg -8T0l5qdqDwbFEzn7FkGkdt/3psk92OpO7BFQBBP7Nu4ZpduDjeuI3SKK4Nai -qMA5C3rQW57YN6kIhKVLvMxW9gzVnyI40/hv+GGTHqQekOkqi4C/+76e27Ye -tLEi4fGKwHHJQf59mx4M1CDbFRVBh+v27UGHevBWOTm+Uf/AVcdmZ4RjD04O -IOmY+A8UVl7p/3axB/fsI+nV/wcv4xJWJXn1oPE0Ihejf7AwQGU6dbcH8+pI -dGb/ILfl+nt80oMj3xI52/yD04Fjs34E9SDnELHH8X+g8iPufszHHjw7k9jN -9R8kgWtXWEwPfmikefAPTs6x7n/5swe93tE8+AdTPDcH3k7vQaPDNA/+Qbqs -YNb5gh6Unknz4B9Yu2YV21T2YEkD2W46Wb+hIcKoqQd/vCXHV/oP9NatCNdo -68Evh0g62GR+/6x8QX8Pfp1B0tv3D55UPJuSLNuLKQ1ELnLF8H7cm4f3xvRi -/VsiP41iCFjXPPc/jV5UOUzkrFMMroePtk2Y1ovbZhJ7LC2G1ZdnlpfN7sVX -jcRuJsXQfH0K99GiXuwnu31nXQyXvHZob1rdi4eZ/roY2s+mefVv7EXWLDKd -SzFs3X1G4d32XjzeTMLzLobHC2y+m+/pRZkPZLv+xUANut/lH+rFDzRO3xVD -HlVz67ZjL9rp0TwohvTzbuHTL/aiNoekN60YQqfs7I317MXej7RciuHUj2PH -1t/pxdITNA+KQXNzgkzu417MmkPzoBjC80xSLQN7MZdP7CFbArM2KX0qCO3F -is80D0rgeqxqwpbIXuw7TfOgBNLHWbWlJvTirPk0D0qg51jelsVpvWjTQfOg -BHbnXl4cnNOLd6JpHpSAyb5NI+VKevHHOZoHJZAwaXm2A6sXmxeT43MugW8j -zC9TLb2o3EvzoASWT76qodbZi0vjaB6UwPr9/4IOiHpxN10+gkug5O8WjbDh -fei+gsgvsgQ6TrBdOYp9GCCkeVAC/oveZc3U7MO4RJoHJUDpXVfYM60P82m7 -sUrgjLn3otuz+5BD27etBCKef9gcvbAPpZn+oBSc1ATbi1f2oWoyzYNSSPyx -c33nhj6c7kXzoBTu3K/RHrm1Dw3W0Twohab7d9om7OpDIxqnUAoZibs/zjzQ -h+tpPFuUwgJ1s+2zj/eh6Q2aB6Wg/Wpvo+7ZPjTbSPOgFAIs/BymufWhuTyR -n3spBM5lF6l6k+fp8uZbCjrLDxhK3evDDbdpHpTCijOii01P+nClOc2DUij4 -F//59+s+XDSa5kEpdDg8zw/60IezGCCUwssZL2vPRfah5j2aB6Xwel74UeOE -Phy9leZBKRS/jW+TT+1DKWWaB6Ww8mbqpfSsPuykj29UGRT+y5D1LOrDZjod -E8vgxYPsewuq+rCcTq9+GTz8njWhqpGcLy0XozL4YfYn1EPQh+m0/MzKQN0M -F0/u7cNEWs42ZfA6NjotarAPo2h7HC8DG9+3Vmvl+/ETbTfXMjDN92tMV+7H -N/RufcrgkOflC5vG9w/1B2UQFXBgZOq0fnxETxdWBgaz1gcunt2Pd+nw4sug -eoL2wuAF/ehNbze9DH5elqQPN+pHN+b4yiDbtHjfwXX9eIlOB7sMFL0+9sab -9+MZOr19ZeCuc/mBglU/nqLlIlcO05abzrbc249HaPlplEPHt7G/Hh3qx0O0 -nHXKoSeoZH/uyX60o+2xtBx0e5+Lpc/3oy1tN5NyuBe/6+W8K/24i+FBOcxg -q66w8iZjhgflwPHKKnP27UcrejqXcrB4tV7mtn8/7qDD8y6HVJP1Rs8D+nEr -vV3/cljvlXk96F0/bqGP7105FFqUVL+O6EczOh2x5eAUfnzT09h+3EinN60c -Jr68nnYjqR/XM+WjHIomzNzm+Ksf19LyayiHl/qbeVty+nE1Lecu8v2c/mcz -//XjStoeshWwQ2qedXdlPy6j7aZaAevS2NpJDf24iLavNhmPny1zhdc/dH9d -AdsG29sWdfWjIT2dcQWccFnT1iDsx7l0eJYV8Nh9nJSv7ADS3ZWLfQV53zoz -de6oAZxFH59zBSgb79j+S2UAp9Pp8KyAA+IfD3dOGEAtOr1+FZC26VND1bQB -nETLJbgC5utM22inN4DqtPwiKyDCf3JCseEAqtJypipgqX/Qqo3LBlCFtkde -BRTM/Jj/BQZwDG03VgVc3LjCRXnjAMrR9m2rgDkD2/SPWQzg/35zrAT+KkFb -/M4BlGJ4UAnKw6cUyNgOoIgOT6sSGtbyytYdGsBeersGlXC8a5f8lRMD2Ekf -H1RC0KRDtp+dB1BAp8OiEm4njCkruTSAHDq9dpUwM3fn5X6PAWTk4lgJZ/5b -uV711gDW0/JzJ2Pr5IUz7w9gNS1n30qYmtWwyeDJAFbQ9giohGux72/MDxhA -uvszi6gE/3FyHP23A1hA2zepEvZwh5+b8mkAc5n+oBLyl4TMUIgawGxmukoQ -9VYLuXEDmE6Hx6uE4nnx/b9xAFPp7Yoq4WDF/Ckvfg/gT4YHVfBabHrscM4A -JjI8qAI/f0m5btEAfmN4UAWGIZZnG8oHMJrhQRXcmLVhwbPaAfzM8KAKbmkV -a6xnD+BHhgdVsObe8BlswQC+ZXhQBaHOhdbXuwcwhOFBFaRlw1dN0QAGMjyo -gvuvzAzfyQjxfz83VsHous5iPQUhMtOFVYGTwYt175WE+JjhQRW0qb8aN0Fd -iAz+0qvA51n/Zu9JQvwfTqtgdUxUS/N0IV5neEDmO/6Hu1ZPiAzu+6qgJ37p -jkcGQmTKh1w1iENkp1YuFiJTjjSqYbKuvuWklUI8z/CgGv4z+dyyw1iIjD2W -VkOE5G6Tl6kQTzEJroYp2/+YhlkI8QTDg2r4uMpW+Y+VEI8wPKiGbWkWqypt -hMhM51INYxtf/mXvF6Itw4Nq4L9e/4fnIMS9DA+qobHLdAb7pBD/Y3hQDb31 -H5rKnYXIpCO2GmacOqT466IQtzA8qIYTDy6/fO8uRKZ8FFVD7haB79XrQtzA -8KAaNr9LbNx6W4hMeesi8z9peqPxQIhMuZStgSfTT/4q9hciU35Va8DBdMsW -35dCZMq5dg1YyN9eYRQsHLrvrQFL++m+rPdCZNoNY/L/yzMPXwkXItO+WNbA -1Itv6sZGCZFph+xrIGvX8+GB34XIHJ9zDZhPHpk7LUmIkxke1MDrX11GASlC -nMDwoAayt+/eqpwuxHEMD2ogN3mp/OUcIaoxPKiB0HEPHKoKhMjImaoB6y32 -x5eWCnEkw4MaqDj6WdWnSogjGB7UwJKj5+wL6oTIlPO2GjhmHmOtyhYO3R+w -wEnFsc2cL8SrjB5YsC0p2MCtQ4jM9EoskN28Ve1trxCZcDRYcO+nS2CqSIhM -+FosaFXTzC2XFiGzXR0W6G5dGNIyQoTM8RiwYNGp9Mlto0RD+mHBhNMlqwTK -ImSOH1hQZLVftmGcCE8zemKBw5SDjvkTRMik14IF2Vk17t+0RMjIwZoFI22L -Fz2aIUKmvbRjwaQCkwdH9ERD970sGKE/32/hPNEQf1jg+rlsW+8C0ZD+WDAv -5Do7aqkIaxkesWCawoJdDitFuI/RI9k/q/b12LUiZOzly4Kfuo8xZoMI9zD6 -ZMHJOtPvW81EyNg3gAW7xkjdrLMQDemVBV5h8fNO7RAN8YsF3G/nP7f+JxrS -LwseLl404vheEeYzPGPBxZndiyv3i5BpX9JYEHAjbpXJYdHQ+w8LpHa7T/5w -TIRbGH2z4O0rk3/SjiJk2qlKst72sYd3OItwE6N3FoRcqM5+5SLC3wz/yPeV -Po+udhXhekb/LHip4TFD46oIUxgBseC8n5Wa6TURrmUSUgv33fQrnW6KhvhY -C02lw9z87ohwFeOPWnB7z+oMuy/CHwwva8Gy8ee6hEciXM4kuBYO+78+kfJU -hN+ZDdfCt29eJ1Jeiobet2vBZNPRdQmvRchMZ1QLuvsS534MEQ3V11pwHsk9 -9DBUNMTXWtA272I7fxIN1dtaWDGtNN78iwjDGUDWQtzdJyWTokWoywiwFl74 -Ghg3fRPhe4a/tdCgHdIfmiDCGYz/auHZ1nbxgZ8ifMPwuBa+KE/YqpYiwqmM -oGth7pHJ/J+/RPiaSXAtqO4QlhzIEOEkxp+1YFcUrSTOFg3dX9eCCtfk8YM8 -EWoyBqmF2U9jDk8qEuEzht+18KlUdC2oRDTk31rw+6LVOqlChA8ZntdC1cTJ -oX7VIhzLGK4WHk7u/iSpFQ3xvRY+xoSKDzaKkJFLXi3MqV30KpktQkZ+pSS+ -kFc3NXgiZNptVi3YD1Tj4VYRMu05uxY0m4XrwztEyNitrRbmH+tU53aL0IOp -B7UQ4/Fr8fR+EUro64PBWng11zl4u0g0VB/qwPlJVcSlQREyryNKdRBdy/38 -QkaMFxge1MFBpYC3McPF2MPwoA68tdrv/ZEXI/N6pFMH41TYpwpHibGT4UEd -qDV7ri1VFOMZhgd14BX4U6F4rBgFTIB1sG9Z4O9sNfFQfamDTzFTLyZqiJHL -8KAOHFRNprybIEbm9dG6Du5ZKcbfmCwe4kEdzL54yfTAVPEQD+pgvvvN7EXa -4iEe1EGYw7IN0rPEeIjhQR3cn3836reueIgHdVBX7aXmrS/GAwwP6uCD46QT -K+eJh/qVOqhg7frGMxQP1as6cFu8rMd/oRgrmYTUwYOTCbOXLRGjDcODOlC6 -UbOjcJkYSxke1IHEM/TMESMx7mJ4UAd2+1Wud60SYwmT4DqYM23qHdc1YrRm -eFAHR9LybvQbi7GI4QE5P7MZF85sEON2hgd1sHP53cy6jWLMY3hQB9bjXVu3 -mIlxG8ODOlDn8BujNosxl+FBHTz61BwxdqsYNzM8qIM82yMbj28XYxbDgzrI -kDoVlbhDjKYMD+rB83GfQN5ajL8YHtRDv+ZIscUuMRozBq6HufeCK+/ZiDGJ -4UE9TO366Zu+V4wrGB7UQ76ZvbLITjzEg3owfnjnkN4B8VB/XQ9OmStubzso -xi8MD+phb+dpzzOHxajP8KAeRozRN7t7RIyhDA/I8xonG4KOiVGb4UE9PFVZ -uO3LCTEGMDyoB3fJVd/vp8SoyfCgHrQqLF/FnxbjY4YH9XDtwye32DNiHM3w -oB7eOtye9+msGL0ZHtSDx7jWyBfnxSjN8KAepsSWjbh+QYyuDA/qwXWD2dwj -l4iemff5enj4e83M9ZfFeJLhQT0cX5YkmHCF6JFpqOrhgoKyKs9djPYMD+rB -8IPPxDgPMdYwDUc9PFKbLX/VS4x7GB7UQ7BFe+ma62IsZwxXD7uta3zF3kRv -DA/qIUm/a1bsTaI35j6gHrJyDd4e8RHjPoYH9XALHg4fd4fonTFwPfCuTDRP -ukv8wvCgHoQ+Wef23yN+Yxqgevh+8I2X5D7xM8MDkr9RQeee+omH+oMGMPRI -M9N/JMa7DA8aQJCiNDzhsRjVmfeHBrAq9AxZ90SMIQwPGuBI5IRZ6U/FOJ9p -OBtglm353Y3PxZjC8KABHhRTJckvxGjJNGQN8GZy7oilr0i8DA8awH6BzITQ -ADFeZBqWBshWsx2r8lqMY5j7qAaoT6vmXQgi6zH3EQ0QYnwzvDSY6I0BfgMo -3rPetihEjAUMABtgZujm0ttvSX6YhrQBmnyPra98R/JryhgEpm2Zu0IvVIwP -mALSAGGtGvucP4hxAn2d7t4AF8/rRX8PE+Nz+vrduwHuVx9Y0v9RjCqZtOEa -oGN2MntJuBivMy8ADRBgszHTKUKMfKYhJ/s91VX+/jPxK9MgN8Dfg+kTyr6I -MZxpWBvAelWqj1ykGCUMD8h5DTToLIgSozlz/9EAa17M7d4VTeJjeNAA7yYH -tF+OEQ/1Bw2w7frCyS9jxTiM4UEDmOa2Xfj2TYyGDA8awFtYJJ37nfCGASo5 -n9GshNo4cr5MA90AtYNjQ9rjxXh/Lm24BhAXH08QJ4gxkP45SdQA+/04UiMS -xfiBuU9rBGUDP5eRSWScQ/OgEUZ8tZs46ifJRwRt4EYwVdvRKofEb760oBuh -YI9jhxQlRo9TdIIb4f2NrzN6yNh+C73hRkh5PP5Gc7IYV8+ledAIejfC1P+l -EP+PoXnQCDx38xeYKsaNfJoHjTA9hFMUmibGOzk0DxrhV8eN376/xCiKoHnQ -CKWnpp4+81uMz+7RhmuEfWrfcy3/iHH/aVqAjWDVZNY4P12MO7bRPGiEuPqK -KMUMMZ6dT/OgEe6NPr60hYwTVWgeNELR/m7n5EwxLuiiedAIt1lXDj/NEmPh -P5oHjRB5R1bpRLYY33yneUD2b3/j9Moc8dD9TiNsOzTi5qhcMVKXaYM0Qobf -tZ2lZKxsR/OgET5zxOVv/orx9hqaB40gf/q8xok84h9tmgeNkD+FM3J+Psnv -CNpwjTByYE9sFxnLtNA8IOtLZal/KxDjnGyaB42Qs2CpwflCct5faB40gvWD -IMn8IvL8I9rAJJ7xct48Mv7iQvOgEX7kHE95+0+MN2xoHjTCk4isbzbFYry1 -muZBI7Di9OwVS8QYN53mQRO8ar2ehmTsPoLuOJqg0qQ19XQpyU8LzYMmiIl2 -NdEqI/vLonnQBNOX6GzOJePKTzQPmkA3t6vIrZzo/w7Ngyb4c7meNbtCjG7H -aR40weDqztOlZLzZlOZBE2RpzPDyrhTj1Jk0D5pg/ggXjQVVYuyToi8cm2De -yBaDajLOq6B50AQp2leyfKrF+DGW5kETtFsu4CyqIfu5R/OgCaKfyt+tIeP/ -vZ82gVLH4FcfFql3q2geNMEwe83dC2vFOFuV5kET+DZvv15JxspsGqhNEOUV -vsC7Toy9P2geNMGphbqH5tYTnt+jDdcESf1pmv/IOHM/zYMm+PDPa5tbA6l/ -82keNIHenwOjtBvF+F6a5kETGOce2JRBxk/zwomBm6CL4zXydBPRQ2AMEXQT -rJz8y0KtmeTzBA38Jph8WFc9nowvLqV50AT+KeH7bdlidJKhedAEbxdbzpNu -IfX+byExSBM8OvHA9x0Zd76pIIJpgrCxNXfNOYS3l+sJD5qAo7N6TicZv7Sh -O6wm2PY54sQrLuEf0B1bE1S9nWu+kUf8o0cXpCZ4OOpnZicZO46nedAMp+vs -OMF8kn8lmgfNcF5P+eN2gRgbR9I8aIYgVr6CbCvJ52iaB83QJfdW4RsZX1al -edAMTq9vhB1vI/6bSvOgGdRCL7VMbRcPvS80A2vKlYxSMo43p3nQDAUj/DY9 -7CD1+zhdQJpBYBd7bEunGGfco4HaDPN0OHoju4je43aQCZvh2T6D2+lkHN5M -v8E1g5689+1b3WR/k+kOsBlqp3Jnm/WQerTrJElIM6SEHTgxppfw4fk5EmAz -ZL5hmxeQ8QSWGzFwMwyM8sh+1kfmm+NNBN0M2xt1+Pv7iT+v+pIEN8PfeayI -2QMknhJ/suFmcGF/UOwh49GLAwkPmmG9ipdSqpDUV+/3xCDNwCmJaX8oIv1U -9GcimGYYe/h2j4OY6Bm/kQNsBoyXmQISouePPwkPmoH/b/LRiYOEP2d/E8M1 -Q3B8RZGQjM0n5hIBNkPpoZWHWFISVAr+RxLSDP4loJ4hLcEpw6sID5qhaGwT -J1ZGgu4b6R+ImuG5qkHde1kJ6h/lEkE3Q03lBNHLYRLUPtJBEtwMn06FLfMf -LsGT6+nfm5tB9Kv86cMRkqH+gA1/Gz+Pfywnwfrn9I0DG3RyZv58Li/BsSp0 -AWHDMDfwDlGQ4L2jqoQHbDjQIXSMHCnB/wLGEx6wwcRwz+XUURI8/VWLGI4N -4YttP5aNlmBR8EzCAzY8l5ERdY6R4M0z+oQHbFB4uslJRUmCN7TmEx6wYaBj -odxiZQkWfFpCDMwGZ/Vkas9YCTqqryQ8YIOjdPurGyoStN63lvCADYLo1Jex -qhK8e8OEBiC0zV2exFaToOJdc8IDNlw8vV16qroEuXXbCA/YcDzjMn+vhgQN -JdaEB2wIu6sjF6wpwaoqW8IDNiyPt7LgjZdgm+dhwgM2qG+VTV09kZwX5xTh -ARvWWyw8/GySBC00LhAesCH5W8Oi/skSfCrvSXjAhju3NBYc0JKg2c87hAds -CE3N2ps3VYIHlj0lPGDD2KPSsSbTJchyCSE8YEPm+bgVadoSzLj0lfCADQW8 -Nr7pTAlqrv1JeMAG/ZyPuUWzJEP3o2zIV2+qPKIrQf6kSsIDNqRnvNGUmS1B -p/k8wgM2jGxieYboS3DvSDHhARtenwjS3DxXgl9DFUmHxQYvm9pK4TyyH4Vp -xHBsiI0KyY0yJOdnsIgUJDYsOdfMd1ogwfETTAkPWkD+dfiKJYskKJ+xl/Cg -BXQXdsbILJGg/UpnYuAW8Df8sbd4qQSnn7tFeNACO58OWxC5nOzf6TXhQQsc -OPJ30SMjCRYbfic8aIGkoImH3VaR/cT+JTxogaPrWlJOggRf7G8iPGiBiSFX -FjqsJfE+7CM8aIG7qlNeHV9H1t884nORWQskPs4UXdogwdC7SnNiLVvgw6xr -Ox9vlKCvlRrp2Mj6GRvfx2+SYEKIGukAW4By02xtMSd+cFUmHWULtKzpNphp -IcGGErkZS51bIG9c9dET2yRYmzhAeNACLsKC54mWEpyl10J40AKV7YUpmjsl -GDWpkPCgBaT6WfVX/5Pg9adxhActUKc8IGrfLcFXTH/QAp7LtRRP75WgcLoL -4QH5v/M2jV47CQYutCA8aAFJoq/m7QNE71nTCA9aoEyjZKzuIQnGcdoeTIxv -gXPX5sjmO0hwjt8PRRHVAlmDvrzrx4h+f3rerUxvgYa7wpx1JyUoOLtuZFJe -CyTMPh865rQEF3+VuhVQ2gJbygYu1p2RYNrZ+OHurBYIenbXOOWcBF/+PHXN -jt0CkUdnD4u4IMFvDyZLQ1sLeGwqSnrjSvTGSXfX6msBZaPbp0OuSBAzT4sG -B1tgz6rN4794SHD4hWmuLDkOmCdKL0y/JsGVC/m9lBIHUrUfLmq/IcGjgwXn -gzU48PusePLs28TfFQWdnlocsAhf1+rsS/L7h3fGXocD9jmHPmQ9kODr1Klt -xgYcGCjev2nRY+Kfv46O2ks5MDljaUH4U3K+3GKeLHAgI6TFePFLCR7W2H2i -wYQDIgeXlzmBElyxo7slzYIDP1SqK86/kaAk8NPRd9YckLyfOmzee3KeA5eb -ve04kKm9Wq0nTDJ0f8CBqT5LRudGSFCu7jh5AyHPFyvwYiNJ/k75kALCAYcx -cdERsRKcoZBSL+fOAas5aw/GxpP8xagdZHtzIGvhu4HsJMIXJ8+6dF8OZGs3 -XOpKJnwykrcP8+eApUjM0v8twV/qYbU+ARw4mNRhcDZTgqNlDh04/o6OP8Uh -M1eCpuJltWYRHJjSf9J7fqEEXeV1D+jHkv2e7bjzoYScl/b82lFJ9PlYuhpU -Ej9YWB3gpXHg2/R7236ziL59/GqzsznQZ/1W8VSjBI3L7h+IKCL58MgTzuIQ -f63tYflWckApYsu+XgHhQWraPscGDlRWTFxe3SnBTlthlQWPAwZjjHwq+iTo -N/bFHoMuDsiu/bS1VSzBBdVBpUoiDuy9cPLueNlBLE1V2tkmy4UlX93BRn4Q -r6c05eWN4sJDbu3RyDGDuLR85uZIVS6c03sir6U6iPyROb/9JnKh+NjLKSGa -g/jBqnKNszYXEsPbP66aMoinvu9IsNTnwqyOZxEC7cGh/oALyiv8Zn3TG0Tp -dNdPqkZc8LxePu6xwSD+Oz9bu8uYC2fzz12+tXgQw1cavygy40LT1P3/PTIa -xNuTUpRjLblQ4/wqLGbtIJ4Y9/GGvw0Xdv3Rc+ZtHMQdOgPC8/ZcsJoiH7nC -YhCNLaOcrI+T+C4sdXhtRdZ/UtCwxJkLpYXf/SbsGcQ5nTa7NFy5YLfAZ2H4 -gUGce8w8q9eTC0cffTK3OjqIhn0hq0p9uNDZM6Vc5fQgLgs69CXOjwvStvXV -zecH0eSA79Tnz7nw+Fff7kK3QcypmPHoUjAX/F62nyu/Rtb/Ol/BJowLLZv2 -75a5M4iB1dFeKyLJ83nr5cwfDiLH4dPghHguvDB47R7zfBCnb9L0EFJc6HY4 -jauDB3G994BsZToXwlxiM1o+DKLlRMs7iXlciLI7ExD7dRC3jNJSDyjlgopW -yIo3cYM4f+eBt1dYXMj8bhb8hRpE2X7NJXZssl/do/lV6YNI9WzIWt3GhZXO -wsw5+eT8LNgHtfq4IH407N6rssGh/oAHyveuTZhbN4hXR/m+Zsnx4PL+i07V -nEEUON03TlbiAYyqv/+1k8RnKNcSrMGD/+6lXgwRkfxs6nropcWDhq67/hUy -UlRiovWagzo8yFA8W3heTooq9pnXvs6AB6Vqai5TR0tR1V8vv5uxlAdqck5X -KpSlqIL5q22HA1mv8UbL+3FSVORoF80mEx6M/rorwWuCFOW+VrvktwUPsh0a -Wx21pKhFeRueh1rzIEZh7u0TM6Sokrgqu1t2ZL1nBj4X9aQoByFH55gDD0aq -8Xn+86Sot3mB7E2OPFinmnzm90IpyvrMbP/ZLjzYME+uaPRyMn+V79xR7jxQ -PIyqx1ZLUerjMiK53jwI/N5iWLFOipqhWTkt25cHPTNv6B/cROZr+n0l3J/E -//WxrPRWKeqZh8+vuwE8GNg5Lj7GSoqSbtLuP/mOBx805De720hR5epPNLdE -8GBi98lE2/1S1GxVlvbcWB7sEqwbucNBiuKWS08ck8SDPbK+i+1OkvUchWJe -Gg9mLTJdfdVZivrf/QEP4jzOz/h2UYri95y/GV7EA5UWNbbMVSnqMq9n7t1K -Hiw4NcvnsDfZT8RW6kQDD8aP+ShXfUeK6l3usdqcx4P09NcHTz6Uohr8bn2Y -3UXyGSQXoPxciloXe1SiIOKB26Pa6MzXUpTa26lrWmT54Plm7ocX76WovXZf -T6WP4oNFDveSRwQ5nwaNm6GqfKhTnaTnFiNFGS/+7+6NiXxY6fIz1vcHmX+7 -45XD2nzY11WgFZtCr29rs16fDxvv7T7SkSFFefC1tbUX8qFvneXdDflSlPOF -x5NkjPiQWBOn+qNUiqLqPO7UGvOhVN/Hax9LinIyaDmdbMYHK93U0gVsKcrN -Nicj2JIPev8c1Be3SVEtJ+c+97Lhw94F54wc+qSoaFuF6oP2fGgB7sbfUtJU -pcGBZxuO86FAnGW0U0GaOlK/KF3HmQ/jT6prqKpIU5svXj810pUPP25nlg1O -kKYeCLbe4nvy4ftu9rWJM6SpxSZPNfN9+DC27PQ4h7nS1PKrNlNi/fjwW/7A -vZol0tT/fl8g8bJ/CG6ukab2+lvddg/mg8kljyX7zKQpV+c7bQfD+KCY8Pnw -YStpqsdwdfamSD4s+GTi9sJOmirMOznZMJ4PMZtNXSVHpSlFS40G9WQ+PAiM -3ud3Vpp6H7NqqiSdD+lBt+bscJemgvtq8hvz+GC34w9rnY801T1Z0pdTyofN -3067HXwsTX2c8cz/G4sPzzM8ByNfS1M4+nPoazYf1viKjxl8kqYWFIOBTxsf -1knX/6j4Jk3JeG6Zc7aPD29mLuj+lkL+P6Yk0FZKAHYDnHHJudLUKinsNpEX -gOj5EbPGcmnqVU3z67nKApDSkkHFZmnK4tP2gLGaAjjik3B2Vac0teOgiN+h -JYBlZQ+OnhmUpr7Isf3ydQRwStE74OMoGWr/MzWfCAMBKOg9VWnRkKHsx3kV -3lgqgJF6f/7MmSFDJbobnrEFAZxXHJd03lCGOlqkZT9/owA2lHl0pK6UoY6r -b3svs1UAl31GHtXYJEMlrU9elW8tgHFaUZOddpLn953VDbATgOaLC6q5B2So -//UHAvAcsNk031GGStj9oneOowC2r9mLz11JPMuVKtvOC+DGicvn5W7KUHuG -/1KNuiKAaW5xJ1wfyVCfkn4EOnkLQNtZ9U3HaxnKwr7TbY6vAG5vuavpFC5D -mXSe+Nz4WAC7FbX/dsTJUE+cZi589UoAD2JKfrn+kqEWlWuN2vZWALprPork -CmSo2YZ7lkiHC0A/8sXZF9Uy1Hnnkpgv0QJ4LBc2ewFXhlIJfnxjzw8BHFj3 -b9rfXhlqWOLDT8NSBeB/SGv3mWGylFlqvvanTAEYnLiZpzlWlhp7x3DsrgIB -xG2asyt+iix1pdnXX61cADEdcyiTebLU/dKWr6xaAUxx8JFPBlnK1G79wcQW -AUhC1s7X3SFLfbwYEB7aLgDL8J0r3I7KUjE63Xff9AtA4+ov7aSrspT9CfNh -EdKtsHmSH5/zTJaKWxek8kehFbquxT0dHiNLffva+b1tbCuofTOaPiZfltob -taFLb0IrhEZN8JVuk6VCTZ/8cZ7eCiGXdpbWKQ+jXp5tnJ81uxUmjOLJRi4c -Rv2vP2gFqSPVSid3DaMu3Lya9dGoFfb5zhGpXh1G7XPKHJi/vhUM3IvTP4QO -o9r4qsnpm1vBeXnl+dkFwygdqb1TTu9sBW1cJftcMowaFvpGZaZdK5goCZ26 -5gynbtU1B3AcWqFSTw1X2g6nYqP0KTzdChxFH/6Z+8OpGxqOl95ebAW7n7tF -j1KHU9Jjv2Q882wFy2U3uG/6h1PTXwu+vrrdCnFuij8C54+gBMlzDL4+aoXH -dzqO3zwxgrK9dGx94atWKDu0QLg3dATl/Ott2/D3rfBAPveEVuMIKkkntV/l -C9l/wK5rIdPlqIxpYTN/fW8FWfPD8j9t5ajH0dbnJlGt8Fy5a8DxiRw1vSqr -UTO9FbwFw/Z8y5ajnALlXRP+tsK3hqe6j6Xkqau9o+dLl7TCjM7wg/IL5Kmt -7NKR/KpWqBm/frTqAXmqxumknHtDKxTssp0e4ytPGfr9mRXDaQXNr33vuN/l -qfWbOEfvt7XCGy31p/E18pT686IcpZ5WOBIa2z15uAL10ePGzsXCVnDbWJKs -qatA/a8/aIOSQVdhmKkC9X8RdTHT +1:eJw9eHlYjV/UdmkSkVlCowaSokmG7kpIFEmIUioyRDJE9aPSqFRKoqQ0hzTP +0z7N06nO0GkezmlCQhKS8J3ve9/re/549rWutZ611t7reu51ry1t63T03Dwe +Hp7d3Nf/Xf//s6tPL7GwkHRlLxS8vVMLfg+3iM/6lRBNl7Mt5asNcUlOkRIV +VE5aLj9w4is8ChPvkTWVthQS+VfE8iDNAkueBZRYiFeR9uL1x3vf2GCBKU9V +5EQ1OXFuQVZCij1kMg6q3umuJe+9qKXuXRfgkHlJ4C+7nviI2rue17uCjmNW +eop8TUTC/8LN7MlrcImVHfkBKpmrEPbdbnkDux6Wf7r4tJU4ZD65ajh8C2tC +V2ZSfGjk5EmRrRzfO9i0qSBaoplOGouvUOcZuOPQU0pxqwSTOK+4KfNw0T3E +5f4IG3duJ/faHRdsuegJXUvxV0EFLFK6s81Uu9ELKmFK/7InOsgozr57J++N +80c3RVit6CKCHJlm7fs++BS36kKIcjdZI7niz5ZeXxDXSTeD7T1EfFb9bv1W +f/QMFlI91HvJ0uu+B/n8ArCX4WilK9NHZvwELgx1PsDMEVFlP95+Qt+ez7BX +CMLM6bidpox+EuMfERR48yEMvq17EB8xQM7cSAw3I8EYWBWw8rbhIFkwx3lH +hEJRWcdm0z8NEtaStNp38o9wx+vx95P6bCI15aJT2fAIBeeUo4P82GQs3uqM +48UwrF2iM+BZzdVLWcp9mB+ORM/ayu2/2KTD8fpjrdRwmBVWG+QqcIhgwMu0 +EwaPsTFvu8OkCYdkOI/ZH2A/htztDRsnr3IIY6NBg4h7BAx5/ANz/DnkQlYx +I37FE4QctgnSiuKQ/wT2+8x/+wS/7bOUPBI5ZMHGiT5dg0g80r19+UEKhyxa ++6rPqCcShkN5RscTOORh711veaenUDS62DgaySENFNdPPnzPkEJrGp3g+l8m +na65+tkz7GwVjZVy5RDruRXW/Zuj8F5/+5TnJQ4pOJp3vrMyChlbDIYWWXKI +6IZAY4ET0QgMU7tab8whLtcilztMRMPzsmDkW3DIgFZ30ZzXcwSWlVlQtnLI +Mbeju+tWxyDT53jxP1kOoWrNi69+G4P31cy8y6s4xPTa++HpPS+wy039EL8w +h3TJCgie6nmB5NQ7Ho2/2eTy0ZNC367FQuHQS5PSz2wi/P/qEweKw5vibg6b +pEklpFJi4+A8G0XZwGITRZtDibPiL6HN72gX08Amnp9shzLOv4S4r3SCbhmb +WBatWHo35yWW3i35b2kWm5RQzqqc+/sSUhOa3xYnsUmsoNFuB6N47GdECmg/ +YxP+wJYd3pHx8FXpyQ19yCaTRhMKxUPx6OPj/bvMi01sDqTwC6sk4MDhhSNV +t9jkiO9v2nX3BLQu/XUu7hKbNP79+PBHfQIuH2zyTrNmk6r829sjViRCYu7e +7oFjbKL3OpZ58GwiJmRXP9Y1YhPzsN/KizMSMTt85J4H2ERMauNq6mwi7liN +zxips8mD4wqhvvuTYPdoeF6iIpskqf94siMiCeS/XTH+69jEqeSJ0kd2EgJk +vtfMiLLJ0DuBI0+Vk0HxWnT3xzw2ESrfxwe3ZFDVFz7g/ThIOrRP7h2qS8ZM +V4mmStsgMTuzY5XX8hTwTG7kc8kZJO6bPt1aa5OCYdeTn1kRg8Q09pp9dnoK +Ulz2zZi4DHLxp4aj/ysF+8a+SXGODxKhux8+te1NRWWDzYWHmoOE/W4g4GR4 +KsQlgluPrBwkl2aTcvoGUmE6dsdsy7cBEpu387KlUhrspTf83EAbIPdXJeZ0 +3k7D8baQYvX0AbJEqjfApCYNcpNF0Vb+A+QQffgTZckr0LwTouPODhD1TeWc +LVavcCrwYPHsjgHSuMnB/tmrVyB8eT+uLh8gC5icW3++v8K8yd6jc+P9ZFZG +edUZ/deQOVzdklDZTyLFD+wtCXkNSelLDrZP+8lAsQbfst7X+H2OJrnTsZ8w +eb4dPqfwBrnrf/zYrNtPTLd/rim48QZcGP0avryfaL2K+D2f8gasYzPLG8b6 +yH2zsW+nRdIhMHn9DLW4j6hrDb/NPJmOlxofaHEP+8jBY0Eb+ZPTkSx3+rK+ +dR+pez1w3uJrOpZTGpTztvaR1zv6z2Xufosv/NvEvvP1kSk+f3nBwLdQm47c +JNDRS+IF+9KsOrh/WvBP+5HUXpKv3zeRL5OBWbpZfbhrL9lW5D+12CkD9yhv +TJcc7CWrHAaKL5Rm4LLFPz6rdb3E9jBbv1ooE5QYk4E7n3rIYufgYIljmfAO +jGLbVfQQWepolNvLTGTJsBdIhPaQGJsPDp0TmTA8J2OVat1DdFZT3aPXZcHw +qE3nP5UewhZ3TWrUz0LehyhXBZ4eolX6W/nXhSw8VGrbI0HrJnozZmIbQ7PQ +vJpHcySum8zRvews8rPgkrH5iKtTN3E09BUN7OXafzkW3q/TTYJPn1lXypsN +kd47c0sWdxP7hYsCJxSy8ePas5Dl/V3k44mwkxIm2TDKzjUcfdNFFPQ++h+5 +mY0FMU2b/Ny6iJv15MD96GxoJf9bIHCgixgedNmQT8lGj+4tcm11F4ngv3vy +3Vg2vlxVMmwc7SQWQfzuaxblwF1FJnlxXieJHRR5eFAtB673LTp073eSM7yR +QXctcjDhQO+1PtJJoifjXDM9csAYfJB/WaKTmL7ddJyTnIPN4/ft7Ln9zF9L +U3o5NQdzfmWjB0o6iGZoVY/BVA52F2juWhfQQWzz2u67iOXi5+2v53vNO8iC +VKs1aTq5kKFOnPOX7SDbHBxju+1zQclR1Jb6yiLM6d9LFwblgq6UzE6uYJHJ +wyI3d2Xn4pSWraXYQxYJvPOi5kpnLk6wrNNvW7BI7NVMvrg/uagViKVVy7OI +6jb9rTTZPLxqWNc4962d7Ko2N+Y1ygO/RN8Tmcp2Ui89fmLbtTzQBPo0NULa +CdX43xG7yDyIeq5LVz/dTkz2P9keUZaHmocvvksptpNDi9MX1w7lYULJevns +NJPUJexhfp+fjyCrswKUSiYp47f0k1fJx3PppObrIUyiqv5d4YR5PqTuKJxf +dppJwh9zavzd83HhVBlvugKTWPh+u1gUn4/KSrXmQ9MM4i2stPpDfT6UM4Kr +pikMskIqgLrmcz7SJJveJQYziHDjgkCjFQXQXvVhx6lTDGLNn3vYfUcBusPG +S1crMMg6huf6dJsChIS3Xur7Rie7t9381udXAFOxJ/vTKHRSsSGYvuhtAZRk +dY66B9NJ6qvmQh1mAZblNgaan6KTaYpKitOvAixu0PqqqUAnyZeKY15KFmKN +bYCv5DSN5KRceE7fWwhdr9L9opU0sunW7oR5joW4KUfXEgyhEcEOrWy18EIU +mjSa85+mkf2NJxrsiwqx4E9ivLAijXw0efHuyUAhhmyqNDePt5Hv5+eL1vMX +gUXn9TPzaSN2S6N0ZjYV4f0hwa0PJNqIhslhl42mRVjHaNNqKWoll9YrFZy6 +XQS3s2eiJc1ayTyPzX+DXhSB93f2Sc9PLYTnhplJeXUREmKbrn/xbyFnf8Wl +fP5QhCuH0t47yrQQ+WXLhKSWFOMU/8GSmTIqOVr+yslUsxgX6nLehZ+gkuI7 +LR33LYsh+ShPaf9UM9GqGt6Wd78YfNZyetLBzYQWNeE9mlYMITUBRWnFZuL1 +713jqrZiaIocY++rbiKG31j8ht+LETy+9FL4mSbu+RSou64tgVCrTi3fbCOR +9X1g8VqvBFkFPV+jnzQSFdnDN3odSuCVNPrlxNZGYnlY6L5ISAluPbUmO1oa +SKxwju/uvBKEhhra7LnYQGZMD9+72lOCzocvGc4CDeSC4uDlOJ5SGIQ6rKqL +ryfDD8+a0ORLwfP0hZKuTj3x8+iQ4zUuRWmi/jJ2Tx0Bj87U1hulSM4/3pR8 +u44sEX2WaxtVigxqt1nYijry983IxcekFEPvmzMSsmvJ3w7ZlTWjpdizQLm3 +26SWiD0yz59eWIYm1T9dmhM15FCnq5HctjLctNyVVvyghkSmP2o3P1kGo+Bx +Q1uFGjIjGm3md68M+6qFSlVrq8kt3sj6gqQyXPz7+O8Gu2oi5OWj+q6pDAU6 +ocvBW02yQ86FrP5aBlWfX7/uxVURl03anP2ry9HYysge2V1F4jXL1O/sLscF +GWr2rb5Kcv/Jm4g0u3KM3I+8puVeSdbsF+LtflAOrcmtnzeKVxKHg22uwlnl +OH8pdpNxMYWcT+D7p91Rjrtfe+XiT1KI9KGkR5fmykFdwCIHJwkJM8ze8lym +AqaHIrILVAjJf7qpu9mwAk9Tv0t5O1WQR9pLHs1drUDkul+LsjPLicwWWzPl +JxXY9yb2ju5kGbl4S0r2TGkF8s3GbLZuLSP2ogf/hXAqMLySVud9vfR/+TW3 +DFOnX2vllZCbO0f7JpUJQt49WGj8o5iEtRwbkD5GMPLDYrx6ezE5n6M6cdSN +YEKSui/GvYhMfbgj6POSIN6WLdVRUUjUbyor53PLuoZE3nGeV0g2mZrYjE0Q +7Nf4Ynx1XwG5QvnUFiRMgXzth5fUwHwS6zV+K2kdBS1X/ZwftuWRTY7rZcpV +KJDZVkversgjyzz92ln6FGwUTQlSPZVLzMvlgj+bUzApsJG14mUOmZb9fVDo +IgUOq0xiTo1lk4lcwaVS/1HwQnclh1c5m6ho8XVsD6UgWPa55OKbWeTt7TcR +pgkU2JbNpArcziS2t/4dupRPwS6O8xwRzCDHVP/9vd9AgVN+/K6DT9OJZ9rr +1897ufG1Ay6kKr4hI/28R/I+U3DCUc6zveQV8abxf6HyVuKjias3wziNWHrm ++o+uqMR8jvf1eE4KufJpkfhfhUqkyew11nNJJqWrV6Ss2lmJl8vLl+csTCK6 +/2qVVEwqsT7vY+23+ATCkyT7Zv/ZSizlp9sJaseTbwLKG2xuVoJnfk8PMz6O +KMoNRd7xr0TCOY/aXcwY8oR3D19YdCWMZcL99wtGk93PD1989bYSY7uXSU/v +eEokpoQaKymVOFT0L0zfOYJoCzrK9DAr0Rt2qmfbqzAS2ul+a2qsEmoRe6cf +1AYTifNaVQtmK1FflTX0dWcAeZ8dO192URXUMn94vMi/Tz6WZBvulKrCzZwt +z9accyMKXtfum6lVwVLg4Lp/TVdJLH9v/uV9VVDdtd8/N9uUHN77dcjbgiun +bs11FTuFHXtyhWMcq+Ajn28lc90Z21i3VfM8qpA27X0p/8R/WMh4YkUNrwKv +6iXB28+9Uae9KGwkmWuvbiYzJvQA50QGmuaKqrB6/p/5is4hGDowf+FKahVk +WGpWsR/DoD8VZKo8WAX/4ANrl72IgI/Axdi9U1UIe0t0vhx6ilTvuK9WAtUY +V4+s1/gdhTeOKgddxKpRL9uSP5oag3CK2JsQpWp8d7UX/nc0Dqc8Ti9N1alG +3dotHF3uXML36uddYlqNY1tOTWoeTYD/7k+TnfbV4LmpmXM2ORFTu3Zdmrxd +jdlPVfKVP5OA1JEP84OqEfL8n7H5gRQ4/TfmJB1bjan/JhQkolPhWaY3p51d +jb9hD/LWjqfhusOPkKM11aD0dHwz1n6NfXf5FS93VmPvifbRPP83+P3ncr33 +eDWche4HHmGl4/GHzVdi/lTD5uMgR4rLOxfq7l+Tv6QG4/M+f5S7mgl73tLm +FtkarFU43p5pmYWXygE+Y5o1SDy/SOQdl9dV1GTs+XegBv/IuhdFKdkoq9si +LGZVA3U1H/8NB3MgYZXRqnqtBl8lUrS3fMmBfTYz+IB3Dao4I9Ld4bl4VB27 +zzayBhXuurpymnlIeCz6y+1VDcYmiiKWdechUlo++XFZDRQ0TCTjuTzjxpUh +o/S2GkQc42HT1hdA7bbBeM1QDdYfbOuIJwXo1Dnk3f+9Bo1ipXwrzhbCrubX +yh/zaxFfXn1eYV4RaELGCYvX1SJY+8O/3oQiyAvvU1RQqcVrLsHduqcYZxpG +UqFfi5GCwHbF4WLc2LtR+qR5LXiKBJdU3i+Bi+fyiGsXauH+KM5rVroU1q6J +PA/ca7FB13wji1KKzard5+NDajFVpyBkal2GwaS8uuJ4rr8Nq9de/VMGl04N +KUZeLSYsZM9tfl6OqXrLG+P13O/PHxoN2l4BM5eNlHm9tbh3IPz5Q1YFooae +C679XItJvu/+W64T1Ijk7VPjrUO10Q7WdiEKWNPOngdX1EHeI4BXiYtrTdGs +XDuFOohLjjZ84uJU0t8BtvuOOkRtOqLkNUmBvVSIUIRxHbLjGxVHd1eCorZ7 +S7pNHS7J/DVcF1QJV8d9p2tu1OGdUfTyjV2VCGh6FdLnVwfZtbEBC+Wq8NnY +oWE6qg4rHwunVTtX4e2Pe/MXva1Dc06fs2lFFepqJk3kKHXQc1s9lregGupF +Zc93M+tw/UMx/+fj1fhNG/psPlYHS56alrmEaqwTtTO8+qsO/ERDj/O5GhHX +d6f5idTjmpyo9fMdNTj/23lxnGQ9otSOKCj51SDkFY974bZ6uH+YiXpEr8H/ +zKf14N0vXNjMrevQrc0O70/Ww+6Q170+h1osj2gc43Gsx71fNpNVObWI7aQ4 +rvGoxxmTNBGPP7Xw0F32a2t4PaaNTjCXGNahtLU8yCi5Hke+XDG4E14Hk/vV +G+yK6uGwc8I6v68O20/L1rg310NLhSHXIF+P/yz6L0YM1IPaJBmZda0ea+5+ +Xfn2az3WL2ZlXS2ph0iddUMtfwMUfn29NY+7Wqgreg2sbsB0kMvoZeMG/Kk3 +0v25qQFudbb/Xkc2YNazXmCJTgOq0gqqyWAD+n4bNCuaNkDcSIqkKzZChXUx +UM++Ac2VxvduOjdiWkRzz6nbDeCIgnd1SSMUE199vx7YgCPq0/sj5jWB/rQm +PuhFA+RVrhydMGrC+Ljf/qSsBpzieS0l+bgJ12Mmx8qqG/Au9XXO5t4mXEvn +9WR1NKBbzmn+Stlm9ImXLPv8oQFKbj+luy41o+TdmjjBPw1oT9rz71ZOM+Yv +l5aTXNIIdrLZy8+/mtEY05akJdsIk7sKAvp6VHz3kZM4otkIHiWifC2Aiuhm +mbALBxqxJVNc3LWNCu6Z/fG0bES58I4my1Ut0Li53D7KqRHxO9brrLVqweYO +wZrs+42Y0Ku5lZfYgmeR8eubnjQiSlLFWXG8Bdfzh68NpXHtmVaqt1VbUb6t +pXy2tBGCZ47kvnRphdviM/zL2xrRUCE4m1TWiteGkQZKQ42Y/O7J7zOvDQc4 +t+7t+d4IFz7C2G3YBsuuuezT85tg9Y5izwhuw4Cy0uCNtU2Iifcr0WO2gc7h +EXy4pQlSa+aP7xWhgSrG2Jyk1wRzK9Hc7i00nP45ZVF2jKvPKA63MqXB865d +cLtDEzwXCj2g36BB741s/YRbE9xv/XyiEUnDM081IYGQJiyaCCx+UESDz59w +4/XxTVBzqvzW0kPDfBn9aI28Jkz9jTaY94cG2c+6n4zrm7D/+ep0eUk6us6G +7jvf0wR1A61NO/Xo2HBXOeXepyYU//pVATs6BHXXijzlaQaj1PqSui8dHq9P +3slc3gyfwAub16TSEVA2Ol4v3wzKuRUCnxvo2OpSZcfWbsZLY7vpnHE6rnd+ +Hpo51AwxffNZBxEG9rEvXVhq0wzpPeOrFm1hIOeR5vTGG82oOSJjlHCYgaL3 +pn76fs3gufznsYIzA8ffl0mcjmoGK8x9OiacgYeht8tvpDdDtzbm8rw8BiwG +vGwfkmboCZz9dYLFQEl776JkRjM6TRtevPjBQMF1X1I+2gyhN4yTzNVM7Cu6 +d7tjphnUJZ6bfm1n4lpyvcaXhVRs9KYtEz3FhOoOm1khSSrWz6tZutKdiW+z +y4jUNiqqI7YcE4lhQtLx9V3tvVRs1R8R/FHGRH6wvObRk1RcWTC6kdHPla39 +31+6TMXVT6rlL/8yIT1EjfC+RwU+1lPOSrZjZsXPHTFhVPTyvVZfqduOnTMC +vXlJVOzR6hQrtWnHaNCvmy2FVNzyPXzFzKsdc230+WNNVDh9XrZtIL4d/9WE +RP7tp0LzurTD6ap2XLioKrH6KxUNS9xFmofaUV5REKfC3wK5JkkZZT4WXGqk +1xquboFurGialywLCW7Oj2w2tUAt2Ci2YQ8LOzjJ/+7sbsFUJI1/nj2Lm0/F +hbAjLXAvje9V9mEhmZQ1v7JrQetsucyhJBbctOIUq1xaMHFMrseyhoUi6/Me +PQ9aQG/s5LUZYcF++zLaVEwL/CxYUeb8HXCvTBBfmNUCQX6JhN0bOjA7u9pa +troFRxqzxcUMOjAy4vxiZ0cL7F894hu168AujyyW2YcW7EsqsUzy5to3MoUc +51rwuVhF/nhiBzbU9qr5iLbCfvyL9WxVB/ZpHLSOkWnFWY80hfChDrwfSArN +02jFyyrB5xLzOiFc9b6GatgK6bwFJXHSnUgfWPVv5HQrhszyfFbqdYKhrqLz +52orxuL5fnvYdOJWzdb7K++3Qil2Zt2gRyeeB0s0Kz9pRZ7h4/FtcZ3Qe/Rd +bF9aK+7FM+3cKzpxiVpw+UxpK4LSigOK+juxTN+22qW1FZ1Wey3G5zqx4+tP +yVBOKxwqXVhL1nVhpPu2V+p0K7SYxn+Udnbhf+6v26D7uIm281QX8k9omnWJ +t+Ep76fDuq5dGJ64WT2p3AZViXw37WddCC99sV1Yrw3zP8iYKhR2gVKdlSN9 +rA1ytppM4Y4uOAtkbN3h0AafB194ONNdiPd8kn/UrQ2y1iY96cu7cUzDQecy +F5cEh49aX9nWjQBZWar3yzZsXvknVMa0G9oHG8/E5LYh5PshR6pTN66mW/zI +q2uDlo/+9KWQbsjtbw9v6W6DTGW/wt/0bpxcq60+NtGGQ+kSQn7N3ViyMaDn +7782lO4VCJ833s3l2YpVQcI0yIXaZt6c34NfW5eIiS2nYWeg0L5++R581Jy7 +mrSOBj6tafNde3tgoTFRpypPg9sTxcEwux7oK7Mly1VoiE1O4vR79eCVRJfr +AW0aXBwuWEm97EGgcDt3PqNhrufGUYuKHnz4xFS1PUSDGk89JaCvB1XNndx5 +jQaxzrNpGbM9EEsc/OhmTUOmtYFws1gvxm98NBK6SMOPqKvD/Zq90NT5/frx +dRrGfNma7471Yo5XVETqPy4Oy0bzvbveCz0ifzXdl4b2688P9D/qBZ+LPn17 +KA3dN0bmN2Vw9fK2GrXPaAiRv6X3ltqL2TbfaNMEGqYCjb/5jfdC5cZbnoE3 +NAjGX5E4Mb+P23e7HS7l09B0gVW1Xr4Pi1Pm035U0KAz5MPu2dOHIo1d2t4N +NNgu+u92yNk+9FXcSBRl0KA+XuK/3aMP9/QyF8f00lB6R29pd0wfoss/uymO +0jBeJLriWkkftqlte5/3mdtnXss9+tPZhwMJrsf1ZmiwMfH29frehy0rEkpa +eOmIaXUIn1vWD63wAOFTC+m4dXyZuZNqP+zWKe8ZW0HH5Oj9lm7jfuTmhDpc +l6Bj4d3i3zsv90POPMvlrwIdVWsLBp8E9KOCL/Ra4FY6JKruuL5L7odrhZL5 +qp10rL7G26ha3Y8Tvn4bEgzoyJQ70urM7sepk3EDyiZ0vB+yf/D6Tz+8tFy8 +i0/QUZ2K2R7xATTJLFy+9ywd2jfZUnzbB7Bd/Eww7RIdRw33/5ExH0Dteqep +0zfp4NlwM3TH9QG4K+vov7tLxykhh84DoQMwO9D833V/OkynJFlH0gdg4rwq +4c8jOhd3YgMONw7AKVkyJyCam+/g8Ne9YwPIGR1LX55Exw/25yXqfINYs80x +PPYtHY7jZHSN1CCSAzNtNxbS4fP7+LWfuwZx7EvW+jwKHbtW5GVRLQahYHOt +WqeJjgj1zpQol0Gs7/9k1sjk9klLytEzjwehdX5jm1k/HVIPnfLEswZx87eM +xsAYHcerR5pbqYNgxfT4XpikY9M8qSi3D4PYkRC69Nsv7nltvEkR5WPD085L +8R4fAyybeTetl7KRws5sEl7EgG/KDod0STay1qydebKKgerZddE/lNmIWVyd +JSPFQIjVKxGdXWxcJKnTmRsZGG0drLhvxIbY5sbq3WoMNJpQsmpOsvHmmIIE +dRcDO/qNhvgc2JDQruI7vY8BTTcPM91bbNzqjro5zu3TFLkz/G7eXHuNjGtu +Ftx8Bsems8LYqDH+93OBHQO309bIjcaxUSYdvCDGkYGXHj8iVmWwEZ19Ik3Z +hYHT5+4a7C1j4+SfM3TiwUC8RYaacxMbM/yJvkcfMOBi+cA2uouN/xpka0e5 +fZ91ZTGdMsbG4H5OqGsMN37wbo+RaTbkvXtHF6UwoF226ooAHweH3ZbVJ2Qy +oPsrKlp2KQenlP3UthczwDCoF4IkB/tiNJVaqxj4Ehubd0KZgxX1shn2VAai +haTir+zkoO6tcdlvLo9g3DvY4nmAg+NH8swfDzIQwS+tHXaCg+aME25KHxgY +efZyJPYcBzY5G/dXTTHwNHfQIfUGN77Bum7zOQY8qd9OpXlxEGOvojomwET8 +cF95fCg3/nK7QzdEmfg9E/3o8QsODh4pVP4jxsQDkS3Mu284yFy7ud1bhgkz +iUhfm2IOnG5VQmgzV97CTN1Zz8FDq9vX/DWYCNo1qi3K4kCg3fg8P5iYNaTu +7B3ioK/LQPqeIRMJZgFv4yY5WOxoGT9tyoSX5ZpQy78cxAZHjDmcZuKpnQd7 +qcjQ/94fMMG+UBJP1gyB5n6eYnCVifOOTYxzCkO4bchjnnGbiQ1XM2/wawzB +LbEkY4UXE+JXL/o81x9C98MnLbcDubzKcYZf6cgQIvnDsjsfM5F+wfJ7jtUQ +Mha8PaX+gglDuyfmapeHIBv3oSE4hYm1loly6XeG8KNy39xwJhOKZn7n1vsN +Qf5GzbRmMROOhjor/R8PISvHNsevionRnc3qH14OIcpTWoPZzMQzZeWaPRlD +GOjjubuOxYT3evvqyNIheNbzBNoOMJG08Nq2oYYh2Dt9vJT1jonNlmk6Gh1D +uMIXtGXpVyb237BfGTc8hOfeg+0+s0x8P5JUIPl1CF++vLdayN8O9e8OMoV/ +h3DRMLUxaVE7hGwyT54TGcaCYHEx09XtuBrmZrFJfBgt5foHlki349yDxg2C +isMo7pG0GtrUjqn9MSUzGsNoHM42q1dvx7KWKfF5BsP42zWzmei0o1KsZa/M +0WFYlEy/bzRsh6CS0k4Lm2H0+if7vzvajn6+Rb9Trg5z8X2hsJhVO3QTr/su +vDsMo3dyV047tENH2LLHL2gYu12/52Y7t6NVo/GXWPQwjv107Rf/rx2TiiXD +lWnDCD+b+yHSrx3JQ1ueeRUO43thfJdcWDu+2CqsPlk3jP9mDV7XP29Hw6tE +m72sYWze+OLMfynt2F6YePPAyDB4DF5/35PdDu0gBTP7b8P4ZeToJFHWDqqc +ytyTeSMQ0xluXljP3a9fidPA0hFYrRddKMJox9uMxre7pUdQ9/7LZsn+dvyM +sSzIVR3B8Ze+W/e+b+fy8xsPdHVHoLvHMXL3t3Z0aTT9Djo8Au4I013/px0B +2euHdp8ZQakhz+ywEAvvaq7sOu44ApM3b2fClrLw06lkAct1BI4z+1l0cRYK +c/iMS/xGsEa1LTSRy3Plgg/wCT4egdnRPYpCm1kw+BekXBQ7grXWSbFzaiws +E25upr0agdPxqSnvnSw8ei04cDhvBKbbleVe6LNQPqxjr1Exgkp+cw3DAyw8 +z7txxqt+BBWlF2V9D3P9r0+mqtFGsM/q8hczcxZs1jMzDnWN4P8AxmtCDg== + "]]}, { Hue[0.6142719099991583, 0.6, 0.6], Directive[ @@ -369016,334 +368627,201 @@ qatA/a8/aIOSQVdhmKkC9X8RdTHT AbsoluteThickness[1.6], Thickness[0.01]], LineBox[CompressedData[" -1:eJw1mXk81N/3xy0hbaKFklIqlfrQqlKdSEJFaVdU2lVoU1FCEZVKpZ0oKSQU -iuiM7Pu+bzPMYMzYtzFj+d33++s3/3ich3nf9733vF7Pc+6duVa2ZqckxMTE -5MeIiVF////jLHtyypSAJDi2Z4gTvGQLrF/uwX5XmwilBTn7TzL3wJlrXkpe -vQhrblzU+I9lCZztMG0h7w845Nqsrdh+Cpo5C1DC7xdMUX3yXOByHk5u0Z2u -NuknnC5/+NxB9hKUp89bPen6NzDZfO/mmTtXYfKzpa8tdnyEsrQI0b95NyDf -487+ArvHIGUrP9Or2xGc18x8cSHrFv5YHVSc0nkHxhbc3Dw52A/HPv7lEzPJ -Fe5Jeu1R9gnFnslrnujq3IOnWetePl8WheGfckvvOrlD8+4bJfuK4vBCcADn -vywPuG2bYluSwMBTbnmreqY8BM/ZG1+u6k5Cb+2DyUUHvWDsGjXLdNk07Ead -FzlvnoB4zPW0p+KZaCS3sdCO9xSc/NYXelVm449gT6HM2Gdg237eCV/k4Zpw -yS3f5j0H62KpRgWlAiyZExpqofMCLDmPLI7tL8SXojurZpn5gM+SrAWGbkV4 -Qc+1pun0SxAPZGzKDCzG0z2RQYybryBq98WvjVElaCMv9zTowWt4vbLAyje6 -FN++oT/AMOJfbAsqQ+aj3Ulvgt7C/Bd/Myo8ytGAt2bil8h3kKlgaH/0cAUm -RRldT4x7DyEpHlfuzK7EI1xPcW6iL6R9c05cV1iJCp79oSppfjAvXfPYY/sq -rH/+zP5o5geIU3xpent8NTbdC9MqO+UPT9/9fCLtXY3nry1a48bxh8A9HqpL -ZGrQSTkua93JAOiDqRLNF2tQ5bpVZw8rAO4dO7Bqc0oNbveY+yXG8iPsit79 -a8XEWpQ27ec7VX4E802SD3FrLZpkNfzbue8TBIjOhzfa1GI8FBtE532C7+Nv -TI1/WoustuiX24wDQcZrSsien7WYXO7xY0xKIHy/s8kurLQWLYd2vOXDZ/jY -IDidIazFn0ckTEbiPgPzt97jL3PqMLnva86GNUFgO6LcuG1rHT7O2jzjc2QQ -GP26ZxNyvg6nVGVorV32BS4zb6nnPatDswX6U/u+foFGhzHyMXF1aBwSnlQ7 -/yuEuCtrHG2ow6FzE6DL/ytUi7PWn5JmovVJc3ctlWAYN+AocFRmjuYnGJhf -LXO7tZh4SzbzxrLpIXBE3jM+24CJM6J4avxnIXB7heiftAUTL74ZflsiFwoG -E6JZAVeYeCdWopb7KBSi/GKU/B4w0XiqgL9o3DfI5I6cEQUwMTe4Nu3Z/W/g -1vo0LzaWiRMcYmzUpcKgOficaUUBE6Xc7rAaXcOgY/aDpkMtTIzLXKdaIPYd -/Hd0vdKRZOGi7ZwljU7foW/Fx2NOs1i4W9pVfOHQd+gpeKM7bw0LVw3KBT51 -DIdXiyvWq+9iYfbSJwoLheHAXHdsh5c1C5v6n/ue3hABW9kufr33WHjFRNw9 -xjECNozzPiT+gYXj3j75J/EnAlJDvW5H/GZhaOWmPduFEcBKvz5OspCFBybL -rX26LhKeHDcVG2hh4SQdsUsFNyIh79rUo88k6zHPXGF40u9I+CCVtjBPuR7f -2G6tM+yPBAn5MwfCV9aj3c03U++s+QH9r3o6Vmyvx903JvlFXvsBTm9tuw9Z -1ePGC4GOzKgf8Eqp3HL+zXpcse9w2PieH7BF4b+Vz57U40r68xOc71+y+/S5 -HjdJL5144PJPMLnxcfrBP/W4N3erzvXInxDOZiyIyK/Hqw+dE553/ISA7Gzf -UE49+m+sfv5NMwrUtVKdjIX1WMw++DfRJgo2yIWlP5rUgNNc+jYUhUUB55yL -4+V5DXhMIVqOxY8C1c1bXolWN2DM6xdreBrRwPPuUl5k1IBTp/pEdlpHg/HR -J7KCww14516Mc09wNKz/OuPYeZsG7OUKPnU3R0P8Be85rs4NeFX/iHK7egzk -f+vbtuFZA448ZzY2no4B+7NGFW8+NaCu1vRlNZ9joMnJpjAtqgE5dl5Ti9kx -cCDPeEV3SgO27zPwzVL7BVmHagXKZQ14sG5zWpLVL4DZixfqNTeg+sTbz+ID -fkH0LI24UwMNaF4nHI5m/oJl+9hR7uPY5Pm4CRFzfkNQ2t7pn5XZ2Gj350+I -5W9QvXqrlrGUjfpaw+ODfH+Dn/nhiZUb2SjxwnUooPo3KDt2fuwwYePC94ZP -/ZRj4V3Jpg9jjrHxm+Gu5HfmsaBivUVi+iX2qH9iwX/tSNZ8VzY2PZ4/+XV5 -LMzXvTyo9ZyNrxZ1qr9SjIOQu++frw8kz58eYb7cHwcrxJy8daPZOM/IVOeV -TxzEx0zv25rKxsE85prXxXFg8OV04rYyNq4X/Sx6M+UPFBVc7DZoZmN1Zrr8 -e7M/cHzN0kdbBthYu2lOp5/3H2gvDni4cRwHtx74delj/h9wCcvtXKXMQfmp -3s+D5OJhKuPH38VLObjp2rf9oSbxEDTBpEt5IwfzHWT/RHjFw8bn/o/Gm3Aw -ef5XRkx2PJTsCfESWHJwwzoF2YTxCTBgYcVqtOXgHqeC1UnGCbA+Ea9UOnNQ -rodjkOGZAK9u/9Mv8ubgubemG/LSE2DSc+u9BR85ePrSpOklMn/BX/z3x+Kf -HBxzc15xpcFf2JkRolmTzEHdyIf2TLe/MKVFT9RSwkG1mcZDnOS/IDjhLDXc -yMFPPy3O8iQRetecMJku4GDm7dRfHXoI8id4JStlG/HxjXu8XhcE/Wal9/tn -NmLvp1eSIgbCi1Sev5NGI/6vO2CA2PAJduiGRjyQamnnOocBHo9dTtfubETR -/ObCMcCARTf01acfbcQO5ffq9y0Z0BD3beEeu0bUDnWwkXViQNz+uJM+Lo2Y -W3j3y0NfBnzbfpFV/awRfz37VTgxgfz/Tcr7xYHk+ZZpHU+qGVC/OemlY3Qj -3qzyG5EfZMBC3dN5hamNuOekmfgL5US49/a7gWZ5I9520+qdppMIQzveDz7l -NuLApnVVr8wT4dlBzc5+YSOmPr3wc4ZDIuglnJl/ckIT1jtk3n73JhEmOG59 -WaLShCp3LuqpxCaCxWKVblPNJtSbYyf7oTwR3h7d0lS6uQl3HS4sVBUkQrLK -78vWZk1ouPKF30fFf1B9wtFn3Mkm1PgSfWG+9j+oX+5l+utaEw7ErNkYtP8f -lN5v9be734ThVoqTF9n/g1+n3nuuedOEu0MOcIJ9/oF71htZ2dAmrPbqj9eI -/gd6sRzV5vgmNJvY/zKs+B+0/uecV5TbhD8W7b+i2fMP3Jaem5bNbEJR3VSz -yClJMO6Hf1d+VxOuoPmWBM648Ez9mGbcMy9yerRZErD2ii6LKTajedjjwTWX -k0Dr8uzpGoub0aQ4i/3bOwkuKD7dZaXTjItenc1bH5kEL/V3zQva2YwdvSfj -4/OT4PuA5cO+o83o38EI3dSRBD/WxD/cc7kZN96/5cuQS4YvojNqCfeakRH7 -yltPMxncDa3MVr5qRi0PBY9kk2TYpxKqFBPcjB5dfGcDm2SY7KBnvzW+GXMF -C2+leyVDvOWi86zcZhS+Zdw0DksGs6wj/Z6sZpxaEXszOzsZyrFuJvQ0o3bK -dwcTfjIkq28dEZPh4vNLOo7541PgZZtqbt4MLs6qPepoppECxlOv3A9dysWq -cbMci41TgP1aR+MFcDGz39Zhv3UKHL3lEOtpxsW2wMM3yz1TAP9qrnh0iou6 -CnXXzYNTQOzYYZ93N8j3QXitOj0F1I8N1f96yEWXZWFXLJtTQAunKbP8uGhX -1W/HlEkF1duBGxV/cPGxUeVFK/VU6HgTbGyewsW663ut2QapEDRtgV5IORdP -059U0O2YPl+GT+Y7bbJVs1sqxC++02E3wkWJe7strD+ngvI/iy9NCi2o+nPJ -QX5yKhyL/WF4fmELXvj4zsyGnQruck7FonUt2Lo7cEeHZBp4J6Pxm50t6Jeo -b3BZLQ1ul18P3XK8Be913oIevTTYtT2oT3i1Bd+ydqy1t0qDMSrbl6JHCzZ6 -R2kJXNLAb+fpHU/ft+AxiahFDgFpMKOmf79NRAvKrdyuOshIgxtZI9sPJbdg -m5qDohMzDeKVnDR2l7fgmJLNk8TE0oGdfLl3H78FPx6oHuM6Jx3+Plr44bQY -DzUSPEWSkA6qy+pVXKfy8JWUUZebZTpIBX2+9HURDzu1ZnJlnNLher/d24oN -PFwKQ3Wevulwbq7+62m7eWim1VU6PoGMrzbn/JFTPDwyZiDHqzod6ofFp3y/ -ycPdf+RT5AbTwfoH/8m4xzxU37ch3ls5A65sqmNd+shDbsGNn1N0MkDqU8VY -dgwPnyxPC/Exz4BZzKoxx7N4qHpV/aOiQwbE9XJKmut4o/UnA5gN/Y6OPTwU -BKh4K8dmwIMQ+X4lWT6u9/rp4VueAb+NVm5LVOHjmcOHnecIMuBi4pELV1fw -0XHCtBsBipkQLP/49IptfLzmz7RV086EC+vTVosO83G/4t8zn/dnwk+dsZW5 -dnxUvRR2VN0+E5yn7d4T5sbH/LDvB4J9MqEkLSDg5Vsyfk6iqUZ0JoSbCZMf -hPORk9e4Law4EybEmCd4JvPROFpls2ZPJnR3/nvwvIKPr2+fXhs5JQsspVcu -+9rGx8xFiVorV2aBaXdIYJpkKwZuUFscbZYFiv/KtDuUWrFuk+tc7ctZMObW -6WWq/7Wik2btjFjvLFCae8DtwJZWvDVJW0EnkjwfGQwvD7ZibdWjcQn5WRC6 -1PJI9cVW/PyqTgI6skDz+dWqxXdbMVNXU8SQy4ZSNj/e6XUr7qt07NbTzIbA -uWliVWGtqHc8hZdskg1vjSS/bEpqxcel49kGNtnw40hAeHB5K8J60+p0r2xo -Nw+YOautFfc+elJsHJYN+/Ulm30k2zAnm/4ASylNUXFGGwaJpFNM+NnwtJwf -/OG/NqxR2pSQPz4HTt696qep34a35l+ONtPIgaMzLHtTD5F49qewYuMcuPM2 -+PspW/J96YLP+61zIEX6QOZ4tzb0rxH5lnvmgKblacPYt22Y8VHtpXlwDjD8 -yxbZRLTh7v2Gj6vTc+Babsj5JaltuElwzt2yOQfMmuomt1W14QMPDyemTC7s -5tkrxXa24QaZQHsr9Vy4UWnr+lCmHXdejbdhG+RCclSmySmVdvyXV0B4kAur -HO7fNljZjtkcjmWzWy5MfCE2dZlRO352Fe63/pwLXuefKioebcetQXKm/ORc -iBLT9hhzrR2/71qwzYadCy57ek71PmjHBhcd6JDMg94T6RFc/3bkrDPTvqyW -B1NW/DzLiiHfv3FOs0cvD0oToryqs9tRd5OLur1VHmyWyZlTVd+Ofg/ezBG4 -5MGuSYOzawTtmGbxQ9EhIA/cuP5bL8l04K9fWXKDjDyIWmL645pCB15/zZFx -YubB70yPEkeVjtH+IB+eZuwMv7uoAxdfnzjIkMuH9ep+4LWSPL9cWeAyJx9i -G266v97UgaGai3v0NPNhwgjz7mejDpS8pN0hCfmw/GaRdtTeDkzs3cpPNsmH -JWa7PyYf7UB2wt5mN8t86Pc0Tyq17sDzGSeIfvLhlWr725ZrHXhQ6QpTxikf -xk+XWyzm0oEhIa5ET/lgZh13UfFRB1o5Pyv39M0HG5XOs1qvOtDpzUeir3w4 -ovlz5vaPZP59P/LHJ+TDbH+xu2fCOrDRJ4nILR+ibCsC3H53YOzu4nSvavL+ -voP2gUkdOCDOSTbh5wM+fjvyL7cDg4N6GXKDZD3afhtZFR2kfkgTPRYAs/2E -1gi7A81zpsd6KxfAulhe2ayODjxsqk70WQAznq9etV7UgVkp2pFTdArg9m3d -rQekO/GbliHRawGcvSE3/qp8JwqfHAz2MS+A0rsf3J7O6sT4hrNEvwWQ86Ez -6pt6J/I0bgYoOhSAYbbUm/QVnfjgrCfRcwEYyVYvZ2/sxHc03wogf//VOyOG -nTgTyXDBBVATlesyc28njq0g04stAFs13trVRzvxXFMGwW0BeHzMCDS17sTV -LRVkwAKYv8I65dy1TrzK4rpZNpP3FWa/vevciXNzBlzmCAqg3bVzvu/DTtQN -kyV+KIQ5+uUnYl52Yo7LDIcAxUIonOZyIC+gE7OMFxN/FMIEAW+k6Vsnao9d -d1lNuxCyWpQsxH534vQ4Q+KXQpBvk7FVSupEq2MHrT/vLyTn79jVWrmdqCo6 -Q/xTCMsWLo3cVtGJCt7XrdTtC+HIvXttFuxOFF98n/ipEFK3coSX2sn+/CN2 -9imEs9YmpXeFnVhgGUT8VQhbutD5uVQX/hwk6YguhAM164T+k7vw2XuCl+RC -+KwRr/dNuQvPbyoxDisuhMU1BubRC7tQr57Ij10ILZ0Vm+OXd+EUDyLnnkJg -nbvWx9jQhSzNMcSPRTB16wzHpG1dGFIxRSdyShE43U3JTTLrwstuasSfRaC2 -wKEz0aILV9H9WxGILdFuSDjbhV31ZDi9Ipj+bPDTryvk+RdkemZFcNIic2W4 -UxceNSTLtSoC/kP/Z4GeXTh5+BIZsAi+q9xhvHrRhfHRJB0uRfBF4XSCx4cu -PGVL0utdBJXW+zyvh3TheA0il4AiMFy4c/7J6C781hxBJlgErfo7H5swunDb -VyJnRhFkpu5LW5PVhcxzlD2KoD70dN6s0i68uozYjVkEK3vuBImxulCqu10S -Ooog4UPAjnpeFz6JGyEfst7vWYmJfV3Y7kqGkyuGN9IKGn7i3dhnTKY3pxjU -H3uE2U/oxs8KZLmaxbB4q6bRdsVuHK7YRHhQDP4a0sPK87pRLICkw6QYHulO -zG5e2o3fzpD0WhZDm7v+z0ht8v1lRC42xZAoCv1pr9eNou7bZILFMN5/S472 -zm70jyVy9iqGzCvjxXoPdGO7E7GHbzEM2Uvu+G7VjZwtxG5hxRAYrBF54mI3 -uo0l9k0oBhx/T3Paje7R+lMMu3wnpP1z7UbGUzJcdTHst0y5fsGrG833kunx -iyHf5Luuwutu9FMkyx0kz9ukzY/62I0elWT7xpeA6t/Jc83CulHFl6RDuQQE -Gx6s4f/qxsNHSXo1SkCft/KU679u1JtL5KJTAlIZE8Om5nRjfj2ZnXEJrC9V -nPSprBvHBRI5m5cAW8HMY1l9N7acJPawLgHxO/HKUfxuvLKA2M2hBF7MPJC+ -pr8bv3IoHpSAL2fu42jxHnT9TPGgBJSYsy5qTehBnVMUD0ogAe2/Bk3vQfEF -FA9KYMN6y7uKc3uwjE2Wm14CPqZFQ64aPfgnkGxfeQmkj5Qoclf3YPhJko7m -Eig3Pl1gtLkHI+aT9ApKIFHr3pLPxj34j03kIlMKbpGaaqK9PdgQSOSnWArz -c87+2X60BxVOETmrl4LvXc3ul+d6cNcCYg/tUugqvptZfaUH33OI3QxKYW7i -KV0Vpx4cIKv9vL8UNAxLDhz06MFTdH9dCpOtCyc+ftaDzIVkOPtSyFtoceLv -+x60biLTcysFa6drB7lBPSjxlSzXpxTq7JRbJ0X24FcKp59L4b9hk7maf3rQ -cjHFg1I4uHByr1FKD6q1kPQml4IF1/Ls0bwe7A+h5FIKG7fpONpW9GD5eYoH -pdAHn5c5NPRg1lKKB6XwqOj9nTutPZjbSuwhWQbD4vMu3envwarvFA/KwCBr -lYSDeC8KbCkelIG1ZskK2/G9uHA5xYMyOP+fpOTRab1o3kXxoAwWzbtVaDin -Fx/+pHhQBvdODoktXdyLf65SPCiD273uj8eu7MWm1WT7LpeBYomSY+2GXpzc -T/GgDHZK/kwNM+hF7d8UD8pgnvO+S/a7evEQVT4CysBnu5jjWvNedFpP5BdZ -BsGnfjZ0n+hFXxHFgzI4nGsT+vViL/6Op3hA/u+2onD/9V4soOzGLINXD4cP -DDv3Ygtl344yWMAs3PDhQS+K0/1BOey+G+687kUvTkmkeFAOs669UMvx7cV5 -rhQPysE12mWB+Zde1NxC8aAcPPWvuzMjelGHwimUg9a8awbH4npRn8KzSTlc -M3E8VZHUi4buFA/K4WCGZ8P2nF403kbxoBzKn/kzfpX24vaxRH5O5SAIwcFZ -TPJ9qrx5lcMv+aZXjtxe3PqA4kE5TE+b/qyoqxc3bKd4UA6Ts0x48wd7cdUE -igflEKLy5JOtVB8upIFQDg1/y+J+TupDpccUD8rhQnlOWodiH04wpXhA3vd8 -+vGFc/tQbDLFg3K43xnpvndJH3ZT2ze+ApQ6P6x1XNmHTVQ6lCsg7BnT/u2G -Pqyk0qtRAfqlV3R/bO3DAkouOhVQ++fQ638mfZhOyc+4Ahy3PHPMOtCH8ZSc -zcl4F1V42cf68AdlD+sK+LNW1Jh6rg9DKbs5VMCxIA3b2Mt9+JFarWcFSMd8 -vxvo2DfaH1RA5Jl78z3u9eFzarjgCrD88233Sa8+fERNL7YCJkSqj1/3sg/d -qOWmVwAa9u6S/tCHt+jtq4DLrtPUsr/04U0qHc0VsNTSw+VBRB9eotIrqAB2 -1c4LurF9eJGSi0wlBIhONXQk9uEZSn6KlXAsIb/xdWYfnqTkrF4J8+Y+tl9X -1IeWlD20K6Fxod+zwqo+tKDsZlAJ4bliOifZfXiQ5kEl3FSKsW3jk5jmQSUY -jPmrdam3D/dSw9lXgjHzSDRvqA/3UNNzq4R4YekqC+l+NKWW61MJr/csDU+Z -1I87qe37XAmsliPzFyj2ozGVjuhKeMU4+8JxTj9uo9KbXAlxxaYj6er9qE+X -D/I+dYVTE7X6UZeSH7sSdH+Hpxiu7cdNlJx7KuHr/UVzHTf34wbKHpJVcMvn -1vVAw35cS9ltShXEsULTk3f14yrKvmpVcPZCzNTqg/2j99dV4Lz6/eGWY/2o -RQ2nVwVSGy1828/24zJqemZV0Oc6UMGz60equ7K3qoI9Mpfk627040Jq+y5X -gUpm0pYM536cR6XDpQrMsjrtQjz6cQ6VXu8q6B0neu36tB9nUXIJqAJJz7o/ -u1/343RKfpFV4LT1Q4Wifz9OoeTMqIKTm9d3FX/pRwXKHvlk/jcjpDzD+3Ei -ZTdmFdzsFJ+66lc/ylD27aiCwC//qZT+7cf//eZYDRver5lrm9qPYjQPquHa -6kn1Izn9OEhNb041uFQEz/Us6cd+arma1XDMQ5Y5rqYfu6ntg2qYordMxY3d -j21UOkyqSX8xraKf148tVHotq6G3hDHlRHc/0nKxqYa5EVo5qcJ+bKDk51QN -831OSqlJCLCWkrNXNQzcOxJ/XVaAVZQ9fKvh0x2lruTJAqS6P+Owapjt9jpo -nJIACyn7JlSDjU91heEcAebS/UE1eEewH95ZKMBserhq8CyNiP++TIDp1PT4 -1bBXRvdC6SoBJlHLHayGbj2ft306AvxL86AGTnqGbZPbIsB4mgc1EFLpeUXV -WIAxNA9qIGnNktlLdgvwJ82DGojwfbxt6UEBfqd5UAN2cr/aFx4VYAjNgxoY -8+jT9JmnBRhI86AGrKfsjZe6KMBPNA9qIOhzOpN7RYB+NA9qIEZP/F6qgwD/ -93NjDbxqGfr8zkWA9HDBNdDZf3rZWQ8BvqB5QMZLYYtpPBEgjb/0Ghg0u67K -9hHg/3BK5u8/+9Hz9wK8R/OgBuy/V25Z90mANO4FNWB5K3RbSbAA6fIhUwsn -xnm/OhMhQLocKdbCvYMPV3bECPAazYNaSDz9Vtk2QYC0PbRrYebqv6bsJAFe -pBNcC0+T+vJMMwV4nuZBLagp6L+PzBfgGZoHtZCvGhQlWyZAejj7WnjXPmvG -wRoBWtA8qAVXp68Z7xsEeITmQS3czzFMLeMK8ADNg1oIqh6aJNshQDod0bWk -H077pNUnwJ00D2phvU7QPZNBAdLlo7gWIh68ibSSGMCtNA9qYfPbgCUXxw4g -Xd56aqHt3N/2i5MGkC6XknXwa6Bd7NTUAaTL75Q68DNaY2k2cwDpcq5WBx8O -ecusUR0Yve+tg7+LxYfkFg4g3W7o1YFFuGUYU2MA6fbFrA4a6lzvf10+gHQ7 -ZFUHO/9cfHhGewDp7btcB29158SqbBxAFZoHdZB1+dXkLL0BnEnzoA7YJqXe -toYDOI3mQR3UlVRtGG8ygFNpHtRBksxXeb89A0jLmVEHHuz1kxcdGsBxNA/q -YMWFF2uDLQdQmuZBHfzzi3ow9+QA0uW8ow60b72T9j43MHp/wISnY4y+9dsM -4B1aD0zI005w3Hd1AOnh5ZjQMU1wNeTmANLTUWSCwG/gVZ/TANLTn8MEdmEi -e929AaSXq86EX5G7Dl/1HEB6ezSZcEEnSPT58cCofpggY/cvJff5ANLbD0xw -N/0c2/Z6AG1pPTGBX2FSJuU3gHR6TZiwWg5nT/00gLQc9jPhZHvvkxlfB5Bu -Ly2ZYO/QvWR62MDofS8TbCJ+tcv+GBjlDxNEkpu5PTEDo/pjQlGT57yyPwPI -onnEhJ7DPu8iGAN4lNYjE44cs9rrkjKAtL28mDCxt2OHUeYAHqb1yYSxM3Xd -xuYNIG1fXyYY55kN/S0aGNUrE0qnLoy+UD4wyi8mhLTEfZOvGRjVLxNSd8mz -w1gDWEDzjAnLti0+rNs4gHT7ksyEqhwJheyWgdHzDxPy2b5jTdoHcCetbybI -PxJuSuseQLqdqmbC6ySlaG3BABrRemeC9UOB9YfBAUyl+ceEuw1vjg2LCVGf -1j/JV9agz14pIf6jBcSEJ/pzx3+UFaIunRAWuO6UYTROFI7ykQV/G79HzVMQ -4kbaHyzQn6zE3TddiH9oXrJAIVPPwnmmENfRCWbBwqma8h9nC/EXvWAWuLdV -j/kzTzh63mbB0kM71mYtFCI9nA4LdGRTmgqXCEfrKwtKfz42LfxPOMpXFogO -BttmrBCO1lsWBAumGv5eI8RvNCBZwHxWUO63XoiLaAGy4IN63dzbm4QYRPOX -BdyYjWp79IQ4n/YfWc/m1mpVAyF+pHnMgpkprSaNRkJUpQXNAjF9uPlppxA/ -0AlmweUE1uGDu4U4i/YnCy4sL+kbs084en/Ngo4PM4yDDwpRiTYIC4bGhu/b -ekSIr2l+s+DFBZ8ZFUeFo/5lwc/MbJ+TJ4T4jOY5CyzU9mU2nRaiPG04Fjyz -/++XlbVwlO8sMEk+eKTkohBpueSz4OWE4li4JERafuUsOGXqnxdwVYh0u81k -AeNRgq/ouhDp9ryZBYHJGvN3OgqRtlsHC+T6W6xeOgnRma4HLJCeLzpU5iLE -Yer6YITka/sR2cluwtH6UA/iMeuSN3sIkT6OyNVDQ+jfmnMPhXid5kE9LFvG -2/fwsRD7aB7UA3sdLv3sLUT6eKReD2KF661+vRBiN82DevDstehLfCXESzQP -6sE+cBE3+a0Q2+gJ1kN+7dv1DF/haH2pB+/AqJaf/kLk0Tyoh/jeawMfPgmR -Pj7urweTwtrT94KEozyohx3r21ccDxaO8qAeIpd9sVjzTTjKg3q48026STJc -iCdpHtTD75iJeRmRwlEe1MOBHfHTPKKEeJzmQT1YXVT4s+mXcLRfqYeiGZOx -NVY4Wq/q4fvu6Lk+8UKsphNSDz1TJRpWoRDNaR7Ug/+JPomcRCGW0zyoh5iN -z1wskoV4kOZBPaz5VGLZmCrEMjrB9aD6gvH2TIYQ99M8qAe7yXtWs7KEWEzz -oB6WKHn9tydXiLtpHtRDnOhfT0K+EPNpHtTD4/FGfXOLhLiL5kE9fN42Z+Wd -EiHm0jyoh6HwraElZULcQfOgHl7rx1suqBRiFs2DergmdXenTbUQDWkeNIBP -15vrEbVCTKF50AAD48VreEwh6tEGbgC/3b9vqzYIMYHmQQO4J+EhE44Q19M8 -aIAfxxUvXWv6fx40gOpSTPLhCkf76wbIn/drdzhPiOE0DxogaevIzH+tQtSg -edAAghev5uS2C/ELzYMGsh/OR4s6hahG86ABlv7+XVnQLURfmgcNsOSRrndG -L/EjzYMGsH40825cvxBf0DxogLbfWyICB4Q4geZBA0TJJyh5iIToRvOgAeJe -usefHBKiOM2DBhhr6P9u/YgQHWgeNMCLBeNiZMVF2E2f5xvgpGby+EIJEV6g -edAAN07lvn8+RoRNdEPVAEf8T3SZSIvQiuZBA3z4/ddnzFgR1tENRwNs/l7n -HiUrwsM0D0jskppiOV6ElbThyP4uv2ImOVGER2geNMBh5Cz9OEmE1fR9QANc -XzJ7n85kER6ledAAXZdnZeXKi5BNG7gBsl4zHx+ZIsKzNA8aQPy9tT97qgjb -6AaoAV7dihs5PV2E12keNIDX+vxvDYqi0f6ADcyS0C/mM0T4iOYBG56b7OzI -minC6fT5gQ0BgVHu2rNE+InmARsUylnWvioiXE43nGyoay5+PzRbhP9oHrBh -QpnXrIOqIjSjGzI2vPw4oeXbXDJfmgdscN6+W2pwnghv0A0LG9ILDttsnS/C -ifR9FBvsVi9d4LmAvI++j2CDvf2/xWkLRbieBj4bKl/MvjWiLsJCGoBseP90 -86wVi0l+6IaUDX/PLRp3dIkIxQ1pg8DCTWY8dw0RPqULCPm/xvpTIUtFOJO6 -Tndig8cK5vWMZSJ8Q12/u7Hhjpm+Gvs/ESpkUoZjw9eHp88INUV4jz4AsEGs -1kB/wnIRttINORvuG3FiZ6wQ4W66QWYD5Oqmz1spwm90w0ref+GIrfoqEQ7T -PGDDBrXV4eqrRbidvv9gg2t31n21NWR+NA/YIKpWHZipLRrtD8j+162UnLRW -hGNoHrDhpmhM0CCJtWgesOG21gtW4zoRHqSByoYfTqzo7PVkf+kGmg0zGvnz -vuuI8MkyynBs+H46ZtHDDSL0o35OGmTDVbFNaSc2ivArfZ/GAeufd4e1N5E4 -h+IBBx7fuZ8rAyQfYZSBOdBw0ki7iMQvvChBc+DsiYy1bzeL0PkilWAOzHKU -KTqiS/ywk1owB8S+SUor64lw0zKKBxyY0pdQUExijYkUDzhwb8V04YMtItzW -SvGAA87r+Xqb9EX4MIfiAQekJm3+1kbiwTCKB+T5MPk177eK8PVjynAcCFM8 -zjQwEOExW0qAHMjf+V9oG4n37KJ4wIHbpjdfPt8mwivLKR5wIGqW3sfVhiKM -V6B4wAH7aI/cYhKv6KF4wIF/M3YqXzISYVEJxQMOvDR64jHOWIQff1E84ECv -vun0ABL/736HA8zxXsmrtouQ4UgZhAN7/Qyfp5B4siXFAw7sGnRx3bNDhA82 -UzzgQMWCdc9qSbxcjeIBB3gzbf6d3knyK00ZjgP3q1Sn8EkswaV4wIFvZ3bf -szER4dJsigccsMCRaW0kdg6neEDmV6+Rbm1Kvv+cMjAHzAtK3rJJHG5P8YBD -9DvkdXiXCN3NKR6Q/ZX/FJBHYo9NFA84wLJKLdm8W4S/51E8aITcO2eWhJPY -SZrqOBqh4OgRN2Uzkh8uxYNGcBA6ZLuTeHIWxYNGSDVNGukgcXUoxYNGiDq6 -eo75HqL/hxQPGsF4ScGSfyS+ZU3xoBHcwl/OW7xXhDsMKR40glW7m/QTEqsu -oHjQCA1cv9JuEgvEqAvHRhj3gfXswD4R5ldRPGiE4kkmOnEkDommeNAIW9ex -85T3k/U8pnjQCAdnB+66ReL/nU8bYfJfr4QqEhtupHjQCBeVAqavPyDCJVMo -HpB4We3hVySe3EwBtRGmDOl7dZO4/w/Fg0Y4cr8kxOQg4fljynBkPdlPor6S -OPMYxYNGqM66EiJ+SIS/llM8IM+7uzw6ROIgcYoHjcAX/jaPIPGr/G/EwI1w -YcnMadLmRA9+UUTQjfB46uc/5iR2Pk8Bn8w/9pDJdxLf0KZ40AjJSmtzxA6L -0E6C4kEjlKzYvNaMxLl5RcQgjXBKda3vJxJ3f6wigmmEnUKObB+J2Y4NhAeN -4M0wcjI6QvRqTnVYjfDflRNCXxLPBKpja4T5Ciudukl8bDFVkBrhqu9vWWML -EdrMoHjQBCrTet4HkHibHMWDJph3k6MtJDFnHMWDJriV8ahyjyXJ5wSKB02w -Urrl3ncSO06heNAEeppDa8YdJf5TpXjQBKH6qR2nSfy/80IT2Bls/ZFM4tjt -FA+a4OEqB0e1YyKcYE0VkCaQkDu14y6J5z+mgNoEBSVSC9gklvi9hwzYBCKP -I1IGx4nemqgTXBPcWXSu9SuJZ6pQHWATmEdp1EywIvXo4AWSkCZ4ujSw+BKJ -97y5SibYBKrehUVlJJ7JvEUM3ATj6mMqN50g4y11I4Jugh1zdnODSDzmjhdJ -cBM0GwaMyJ0k8ynzIQtuglrLLyoOJJ6w2o/woAn+O35iC4fEYm5BxCBk/3w8 -IsxOiVDv53cimCZohczAJBLnYwzZwCYIOL5Ide1poueQv4QHTaAt/DgvgsRF -V1KJ4ZogQnb9N40zpF4o5xIBNsH4p63xISSWCyghCWmC7V4Je5edFeFsqRrC -gya4KB5y5SeJnbZRPxA1wSX+jxkbzxHenuURQTfBIZNyg0wSq53pIgluAjX1 -mSJza1I/9anfm5ug0P7Gmnbr/+8PmuHYxm6B+3kRNryhbhyaodjhgd68CyKU -V6AKSDMs0dw0JZHEj89OITwg3zefdP7ERREe8J1BeNAMtweFRrI2IrSNmEMM -1wyO08ZE/CBxccACwoNmsPy82PeorQjvX9IgPGiGed/Oy0+2I7yas5zwoBnS -FmdOSCZxYegaYuBm2DV76xPHS0Sf0zcQHjTDX8/q12sui3D/UV3Cg2aYeOHp -4l4SP3I3oAAIkHJM99cVEU56tJ3woBn2+uxk3roqQl79LsKDZph077vHtmuk -ng7vJzwg61lXFa9kL8KaGgvCg2YIjSq61UHiDpdThAfN4N33Kj33OtmvlouE -B81QOazu//OGCE0UrxMeNINnzl3JDzeJ38e6EB40wzur0K6nDiI0/vuQ8KAZ -FP76XfR0FOHxta8ID5qBV2dx1eOWCJn2nwgPyPvTm6Uf3xZhxs0IwoNmyLGH -BW+dRKik+5fwoBlKecfzvt0Rjd6PNoOu+i6pVGfSj8yqJjwg4y8el8ZxIbxY -zic8aIatnU+mTrhL+stxQ4QHzVBzh9W69p4II75MIh1WM1QUD5tdcCPrkZ1L -DNcMOh1NW4Lcyf5priIFqZmc794zGu+LcMZMQ8IDLixxUMlY5inCsRlHCA+4 -ENN8zsLxAan3Gy4TA3Phq4rr7byHIpx31YPwgAvDSqcXL/Ei67f7QHjAhd+V -044/fCzCUq1fhAdcKLXynt/9hKwnOo/wgAuHIsuvHPcW4dtjjYQHXPgzofy/ -8mdkvs8EhAdcsP/juPrQC/L+HdLfi4258DAh6T7bR4RfHsktjTbjQr9CxAKH -VyL02juVdGxcSPizWW7mGxHGfZpKOkAu1P66pp/8lvjBYTLpKLlwQMY05cZ7 -wssymfnal7mwOCTbW9tPhKx4IeEBF/b5twWL+Ytw4WIu4QEXKrlRE4sDRPhj -VhHhARfi3OckRH4i/eGr34QHXOi0/+/3m88ifE/3B1xwjmMNP/wiQtE8e8ID -LlzYvc7bI5j0XytNCA+4EK6z2vZJKNF71lzCAy5sv1ny2j+M1OOWjqfKsVzQ -HTdzUnw4qf/efyYNMrjwtE0ijxVJ9PvX5VF1OhfWLXxaJR9F+v0rW8Yl5HNh -Q2Tiyh0xIlwdIebhW86Fl09elT39LcLkK7FSTkwyfqJ8Wl0cqQd/L961bCbr -N9CSWJcgwpinKuLQwYW/s7s93iPRW0u60xwBF+4Ymx8e90+EmGk7ODLChVcZ -p266JotQ6vpcB6ZMC4SYrDWTSRPhhpWt/Qy5Fjj4qGfvuwxyHhkpvBag2AL+ -rm9dNmUTf1cVdrvMaYG7y5eUd+SS/KbxL1mpt4DYm08HfxSI8EOSaoeeZgso -xUpL3i0m/smzsVHTboEM7z1lVmVkf3mlfElogelqD0p2V4rwlOKh82yDFhi6 -EDJkWkPOB3t6uckmLeBsG2VqwST9tl/o2c/7W+Dtkq9ZNxvIfgodm9wsW2CX -n9ulz42i0fsDMr/MHbpMrghl6q3JCaQFHoUPrV/SSvJ30ZMUkBZQ2Pna8m4H -4b3svwYZpxZY/mnWN143yV/U1BPNbi3Aj3ygdqKf8MXOpT7dqwV0b9Ync4WE -TzpjrYJ9WkBrQO3pnWERpkwPZnn6tkDcclO3+RKDOEHi5HHrzy1QM+9kYLnU -IBoOrWUZh7WAb7ZV6zvZQXQYu+i4RnQL9P23/ZjNxEF8q7acNT6hBbhGKkOm -8oMYYbL3OD+5BW6oVCRtnjaIcZ7erOxssp5gp6jNMwZRr+LJ8bDiFoj0mCi7 -R2UQc3X7mF7VZLy9biKHuYN4JCn5qA27BS5Manz4e8EgdluIakz4LTArWjNu -/JJB9JZ/e1izpwVGDK3cr/43iCtq/cvlBltgWubtjq4Vg1ieJLevQ5IHR9e6 -Cty1B/Hev8b8/PE8YD639dPaMIjalQt2RE7hwYvqrfXtmwexdVxOqrcyD24p -SCUnbx3Er3urN19W48Gb1WFbwo0H8eKvPXFmGjzgb910PNx0cLQ/4MGlLb9n -pewdRPF0h9ApOjxY+p+yfcehQSy5tkStR48HKtJnLi4/OojfNui9LTbmweas -dxIeJwfxwax/k6PNePD6duzqnnODeH5aiLuPOQ/mqzCk7W0HcY+6UHTNigec -4PBrE6+R/TL7Ybffmgc1ah6ucQ7k/S8L2Wsu82DMQ6Nlt50HcWm3+UFFBx6c -rOu+ts99EJed257V78IDger9I1seDaKW4NPGck8eJJpKsgyeDeJa/5Phv715 -EH/+rOSx14NocNxL9c0bHrRdjUl67DeIOVXzn98M4MFNP7kV5YHk/RHLZc2D -efBI+aWlbugg+tX+dF0fyYPZfCOjzMhBbDkdOjIzlgerJmt0Xf49iPOMlJxF -DB7k3V93SAcHUd9NKFmdzoNWs2tOaqmDaKZs9jA+nwcPL9Ue18gZxJ3j50z3 -LedBeMNVyT3Fg7h83/HA20we7A9ee+Jt1SBKDiitsWzmgdO/xS7iDYPI6Nua -tamDR+q7oYVHC9k/k+YTcwQ8ONL+vP+/rsHR/oAPkyUn7uodGMQ7470+MGX4 -sNX65+kq8SFss3uilyjHB7EF93VYskOoryXDDVDkw4aVHrnSCkP4wKjnmesc -Pgy+iJmzY+YQxsfv33xCnQ+6xgqakfOGsNTzv84tmnyQ3vNucLXGENZGOH6e -r82HXeG7PSpXDmHh8k0WUsCH2UdXF/ptGMLICfZKjQZ8uGBlUOm6dQiddNXK -Uk34oBd7z9/dZAhX5W9982U/H16e6JgfcmAIy37XWHpY8sHuuOeZ1mNDeFrU -on7uNB9yf5ie3WU9hIH5fs1GNnzIszn1oPzKEO6/tMRniT0fXnzjaz28Tcav -8Vo23okPqhc4h8/eH8Lp0zIieW58sA0zHW/nPYTzlarnZnvx4Z6dBnx8R8Zr -TL39zYcPR6Jv9A9/HsLXzp4pj3z5MOCovco9YgjFG9UGLnzmg2XymV7tP0NY -Of2l0s4wPrg+ltWZmjpEzitMtWXRfLhYOUtKuWAIeZXiyhMTyPq/BuzZUU3e -ZyMa4ieT9fW/Wvi1aWj0/oAP+ekjt//rHsLWvmv3vxXzoUC54lDd8BA68vuW -Parmw7MOtbi4ccPoFGbKOM/mw8xtTD/G9GHsX+e8aTufD2fmTBrbOW8Y2d4e -X5f08MHxZljvds1h3BJ9dlh2kORj398zBTrDODVQdTNXshV4PzZbuhgO4xHL -iIvp41thx7NVFYf3DeN0tuL9L1Na4XL3m/JjVsOot/rAI3flVjhcanfkqS0Z -f7fN7VNqrSCrE3uy5Rb1fgtzfY1WcJh7pdPmwTA6t6qpqa1shShPP4nZr4fx -8vUXsyR0WqFHt7tDLmgYGfXOD1l6rXBTafE0i6hhtNPk2iYat4LJxM22UknD -eMsiJyPArBXOqK4dGVs4jNwLy964mrdC9m75lDOsYfxpIVt7wqoVbgRkMeZ2 -DmO15vHXW61bwVbuTNca8RE807AqXf1yK/x413Doi/wI7rhx7+I4h1bYYKDb -f3neCD5tM/VodWmFCXLOmW9WjuBqg1dKBZ6tsLjvQ5Hy1hFcd8d8drR3KzwR -+SsM7B8Z/X2hFWDO3fvLz43gEZ+9D5wCWmH9cYMVKY4j6HD5YceJ4FZwTGxR -iHo8gn1am7KNIltBcrPd4uGAESzKv6CiFdsKldWl1z5Fj+AkM0X29MRW6H81 -W/ApYwSDojaqDqe3guWlbREjNSMYIKgr4OS3gvy53X4xXSPYqzIsyClvhbsw -+zhbUowRMv+1TwyzFT4fGjPp3SQxBk74/uVDcyvwJar23ZkhxlhRCpqeHa2w -T+fjWu/5YgwJl51LrwhagS1hHpOvSf4/sczPQqwNXh+SyNuoI8bYKIa9BmPb -YFfdBZdkAzHG+7qmD8smt0HzyvPZu8zEGCahu33lldrA8sBQeL2FGGPPicHW -rjltEG2kttThnBgjXKbZu0C9DVrH1WxWvCbGOPZ6qmeYZhtIvVvSFussxrCa -5lrkrt0GgwPjV1k9EmPEO2ldsoA2KFN3UZj8WoxxtniO1fJtbeA9/4Fn0icx -hvX0XUESpm2g0bHwza1wMUaCfuLGgv1t8OnBAV2dP+T7R68s8rVsg+FuZdeR -VDHG//qDNli79OrhjEIxRtyht/1Lbdpg78pjOa9ryXzWyVV3XGsDU1lm2cUW -McZhqZQpP26T8b93Oxj2iTFCE/742bm1QePcdzGLJMQZJlbdt5Z6tYHrydIH -kyaJMwy6z3/nvGiDkWuf+wdmiDNe2i1Y+f59G1jsHzvUskCcsapyzvhdgWQ/ -pUbespaLM5ZoHV4j/q0NYu56FtRsFGdcu1wWFf6T7Ff+F/86I3GGQsAL98N/ -2uAZ/9DYpn3ijDHxz0LHJJH5lX0Y23NcnGGcVKAWmtkGbU9vBkjbiDPkH2rJ -HyxsgzWyqwLHOYozbjd5+UytJPutOaue5ynOeFLOjWCy2kBOasXhkLfiDENL -/RPx3Db47HFNziBMnBFyw/fbl842CI1rHP6bKM6IUu999HGgDWa/c1s8rVyc -YXV++5gw8XYYWWz2xLBDnPF7i79Cmmw77DqzQ+vQOAlGTET3rw75dpi65+qE -bQslGEd+bO1ZPLMdDFsz1BT0JRhfDF+mXZ7XDvWrd9rHnZBgvLvCWZ61pB16 -lg5LbnaTYPyvP2gHu8Lq7I9fJRjX79/JCtFphxMLufn1ORKMo3aZwuX67ZC0 -SG2yWK8Eo6N1SmL6jnZ4UfHggVBFkqEudmS27b52yFururnQUJIx5stHhQWW -7XDDoEHr/jVJhkd9k2/L6XZ4KlF8ZEagJCP6hwYDbdtB9WJXkkexJMNd0eZm -4I12kHPfdLpEegxDXD4847VLO9za+XvLyPoxjHkf2iLeP2iHQ0lHj0hfGsNo -S1yqGfG8HYKaVkW0fB3DsLh5Tr/ofTscjtOGb/VjGJdTAjukgtrBSees7PbZ -UowE9aQBhfB2aFF9vv/7ISlGxtzgBSm/2uGuqptNwjMpxouf+6/OYrSD5vpN -u29kSDHm1WRxlNLJfGz+DtcOSTHs/MY6xOW1g0K81PVOTWnGnf4Jy8XL2mHF -/Klx4UelGabN5eNaa9rBMYibNsNLmlFnd0HGid0OtXru/pq/pRla3mkLo1ra -wXaAu6WTKc3QN2o5+6SjHRZkTv1hKSPDmP6mOEeurx26o6R51zVkGCHO7vtW -i9qhIxbbNuyUYfyvP+iAyRWQEHZBhvF/o0woog== +1:eJw1mHc8V2/4/4lIVknRlKQh0jATLyspRdLQMMInikpGIiKzEkVIki0jsvc4 +xpu38cZ7mdmbaEhJGT+/7/f3O3+c87ge131fr+s+53Gf63reomb3zv+3ioWF +RXnl9n+f///y4LLYsCG2Gh1Z3BxOSvLIFxVaRZapgtyDG01lQtpQEwmtf5xe +gSbrZ/fYCs5Dn72U4bCpHGFLPNd1qFdADxmq+fmuGMyi7Zc+fzTFz28xt1y+ +5OPyf2sz4z5YoHPjbPma1TkYf0IpedRhhV6eYn9lWjq8+S2cb6rdwWOvgoWH +konY4WflkPXdFoU7KmP95MOxUM7lo3DdHv0+KWrf/zjAMiP0rvaQI0zNJBwH +xAMIQ0OewwM+D+FLiTJZfh9L1BfdoazSfITZ2+SlB8ZpxH1Bh10veB/jTFvq +8bsBOcRjps3ag7c8wPHftG9YViFRotSir1j/BIU3DhjnvisjRnBjbGyPF3be +PDIwJF5JcAzsalT09IYJnXJl3LSa2CwiuHjwsw9e/xoOH3tcQ2z5K+NGPuyH +rBaXqAF3MrHezkeHzfcpGk0Db7eZ1xN/fFdbDbY/Q1uyyGKNRCNBU8ijW+z1 +Bz3p4KVMJoWI9Avxf+7wAiSjEsfQG82EsX18sAERgLSGmmuOtBZi7cLAGMH5 +Empze9j3BVOJ1nXJNWN7XiFxOKVMQIpG7Jx5oFJZ9wp+6dZdLkU0YjTWyNjm +VhAG9W2umsrTiZ07r4tPrAlGLu2jcvUHOtFmY/daPikYq6QkvOM5GQTH05jk +y5qv0WA+JsV6lUF8uj9qcar/NdY+GDrW/Z5B0Pdr1vE8CgHZfMdHGQaDsMos +oscKhuKfZPjjtX8ZhOvqk95r0kORQjuXZyTAJNbun+pW1QwDQ19b7+A2JsG7 +NaX7dFcYHNMfn3EVYhIvPrt57bn3Bq+Gf6adZGcSdRXO095s4eCM3yy1NMEg +BETT5ITCw1EccuMZL5VBmCwImvRIvkVSUWOlYz6DyD+fe7O98i0Y6w3b5Fby +49/9/OzqyxGQf89BPufNIB7Yhm2wnIpA57X219XWDKJXvrNw4ck75J5tOP7S +gEFccDmvXCsUiSa7vsocJQZBkV8VW50eCZHGTWKHdzMIfdvxoVmN98i4ZGvK +ycsgOsRWc1zteg/njVPOcr/phPV5Q86ftlFw53x+r7yPTnD9z/eJRoXkabXo +ejqRvDMuqSIqGso+kt86cujEPtMz8X+3xODXusPO1lF0wmPabPDTzRhMtlzu +u/SMTlwvFFzvlh2DzVUxou8c6ERxxQ3p/5Zi4D3GrapgSieiOE4rW56OxQHV +t8cOnqET7M+bjnmFxYKXor3eTYFOfD89tbdoMBaS/ltIu8XphOmpD+xc0nHw +duMzEBegE+d8/lHtHsVhS/zu8sfLNKJ+6cuL3+Q4TP67vvrwNI2oynNSCBGM +xy+/gn3HP9MItdQohs6NeKiclNkXU08jLgb9k+L7FI8upa0KpQU0QnjnfiHK +33gMW+a++JZAI55d2vvS52QCjBqXxY8F0YgEmd+hx0ISoHlzkS3SlUbcKw49 +8KU/AW8V0vdstKQRg2Orz72RSsR1df7AxHM0grNMiw0uiQjwEjt2VpFGtCka +nhisTcTBhQkJHlEaYWB8bNOTDR+glGZmOshJIx5JTDtuNf2A/NcBXS3TVEI/ +ytYiK+0D4rJvv2XSqQSziDSgPv8Bf9f8jfyeTyU43SamW04kgQhTGhGLoBL9 +Y71PDYOTMHtN2u6uK5W4/Tchu7s3CW8MWzWoRlQiKlfJ+vqBZCS/kLp6SoVK +eG6Kz253Ssa+P/J5ndupxLqdn5/qkpJxQG4iZdV8C3GGNjRdsS4Fg5O1dh65 +LYSMRNnAQaMUOPFx1UjebSHqJSwtwlNSMBqTEcO5Z2U/MwYcF3+lQCauePX6 +7mbi7y6pTcbqqbguIDGr/aqZCNty6kRxYCpMfyxaZ6g3E71FsmwCn1OhCbk7 +mj+bCAbLT73/9n4E6wJ9jjOuidBX+ErKt/+Ipr3Mk5l6TYR8Ssi/NRUf0V6l ++PbnAoXwNBj9eY0nDaJNbFO0FAohIz+UnmGYhhStI6o6lyiEzgX//eyJabCV +rgk1WUUhalN7b175kQYnv9Ipvk+NROqxnv8ylNNRoit84sqVRmKGzW8Px/N0 +qPl0RKmwNxKxHN3JRm3pYDuwOF/+qYHIU++eytv1CRyqvpe6DBuII4V+M3z3 +PkGLZJ/7alUDscmyt8iq5BNIBTUbBj/WE2Z6/erVnBlw3+Lq0HyhnuC7HxCw +48KKPRXWdnGxjhCjjLx1iclAqdSmYw8T64hI0wnL9qkMKPTOR8merSNUhCiP +IrZl4sei2urAWTLRv8U5oV49E9Mvvto8eUcm5Ev+Sc1bZULcf7mVX51MqP0x +EN7/MhPv/jioKo7XEgu0J+ZX8jKh36qbthhQS9ho+/A//5wJLdGAzUZHa4mA +a8bbSliz8Khb+unljhrCgpv3+dTeLHzjkP8z5VpDfLkcZLhDNwtxMXG3tovW +EHvVvvidc8jC6xS77nESiXAx+d7rGZEFN9q+WRsrEqGt82B3XkUWBOu0LpC5 +SUQIu5vh2GgWrgdQFuY+VRNX/NkfbebNhqFo8fSSfjUR1cfzQudoNli8+fd2 +z1YRxqxh/m5XsnElj5L88k0VEfE92jnDPRumeT/sNh+rIvTTJS4NJGZD2Pux +j3N3JeEnLye6gZKNJ6L2/RmPKwm5l1VdmjPZCA9o8SrdWUmY5bZ4PhDOgUXd +63vRVRXE2iSjzckqOeimEfGGFhXEEUubqE6LHLCmGuwcX11BMGb/ref2z0Fy +bFTDO12C+K7H43A8KweVL/4b2RBUTjx/+J50pz0HhzcuvFJglBFRdzPYohdz +wKJk1sS1sYw4dET9MFUsF4eW3kT4XSoljldfPMt6Ohdk4/d/st6UEGTRyctH +bHNRcN1u7GlHMUE5u3zOPCwXHH82WfJsLiZ0T4YqhJTmIv+Qv9PxK0XEGb40 +vprBXNRytAhvfltI1MZpMH6tyYOKy+DpuI4CopT9uu8e6Tzw+NfwdggVEIdk +fu29fDEPyooPbxRfyieCXw+Q/B7lQdKug+9xaB5xxefnrcLYPCiJkXovM3MJ +L64DQhPkPNw6o0Q7uSGXENz5lLL5ax7Sp5VHz57PIbjq1z4/LZiPbZyU7beD +sgkT9hy9R8fykRw+4hRJzSK20T22p5nm40Lc89lh/ixC+YjDz27ffAjvLnmt +oZdJlO8OoPGm52Pj8a+pttsziKSUxgIVxop/p5u9b2Q6MVsh/eHefD7uzO56 +Urc1jUi8XRQZI1KA74V9DLl3qUT2B6t3tBMFWHU3x5q2JYWQcFSOW2VTANEN +UdrhEUkER5t81tHgAtgmR9/23/KBOFl/uc6isADfpAppqREJxBfd92OhvQUo +jh9z+70lnvh1cw0/mb0QZK6D9+6+iyXM179V+SNRiFWm/okC22IIWV29B/v1 +CzF/ZlmtVvE9cXv7gfyrToV4XryxmlCKIFa5Sy75vy9E4ZqrzetV3hAs9ga6 +ZdWF2CNPMS9VDSFuzEd/+DpRiENaNl6ERhCxR0CAc+e6IthbO1yVC3xBnC9L +uacvVwQ1bpuqqFBfouhhU5vn9SIEDfF8dIjyIOSrho7kehbhQbs268R5R4L6 +dsprJLkILf4NPM5dZsST5bH6TS1FmDXxY/bHaED7Zyu79q8i3HU7Wq0WZwMJ +x3wZ563FoB/Ja6btd4GYz7MrqWrFiIv/YSu07AlpMT37z5bF4FwK4t029hTX +9Tg9eQKLYZSiVPabEogormwf5dxiBJyfIwwMg/FHX+/x3a5ieD9slRA6FQqr +fX3W0SwlyNZSiK5RCMfQixu61D0lYCkV2W6y9x183dvEWc+WoLDLP2FIMApg +UZk5bF+C/HPlESkeMVjHH55j9rYE2mvmxy79jsXSx+Fbr4kS/N7wu8jZOh5L +bWIbSSMliLPPWyewUleFX13Mm+UuxcO98lMiFz7gTLvzafEjK7b0ozPx5CSE +pb1iXjQshbf/k8ORx1Lwhz/CwPdxKVKVTr9bm54KR9Ywcn5CKRbQ+uzrjjRw +PvE+NNZQiqvhuxZPvkpHVuB/gUI/SkHSPPJrE2sGHkgoDpwUKkPurlVF6QqZ +iJUrlXmoXIa7rpuS3b9nwjP0Y0iyeRn+Gu+U+52Uhc0nOVk7n5XBtEHEfL1J +Nix1Wpy5MssQXi4oTduYg5txbMuKbWXwV1p+K0vJgeiZhFe3F8pgpdYXr+KZ +iyDtrIPvdpVjEzX3zJR8HvLeSHQ2apfj5Zh7iMp0Hl4prnu1cLccXX6qj2Tj +8rHroJmBVGg5ljN/s9AuFeCW404x45JyfDWLE1/PXQgLfp3lwIFypLzXmPhV +Xoj/7a8JsNzo0nG3K4KD0kj3dykCd9Nvnk0XL0ZQ04Ve0QsEoj3Hp907inEz ++9DUeRcCKf0mUnPPSzAz8ZDDO4bACzKFe4NyKWQcpKTyagkcl5d+xvhaCgl9 +XdPRKQKl0n6JCjFluFMx3eLPVQHhLKapun45op5MOiZsq4BMiVDJd1YCEjbb +d5VJV0BC91yuRjYBAQ9fZqt6BZZk8GH74QpcLBMP+HqxAmc4aZVfnlZgVuyf +DuetCnBE9Ui+6K/AVA7H+p2uFdgzbzG2JF8JaXm2NoWXFdjVxy+m/rIS6U4f +Q/TjKuC0yW/9hdFKmDkun7mdVwHB6IZsGeUqXDi0vORZVwFe5y6O4ddV8EhO +TX33eUU3IZ/XbLIKwz2s53K/VmB6pwn5k2o1vKjs3yislej+yjjaEFaN6x45 +fiOCldjMt9Egd6oad6Z5tyztrcSHx7vE76iTUCIk+GGTUiVeqC6kzL4hQXW5 +5oC0biUIg7iB09MksCSIfTx5oxJahYKUe+o1+LlaarepQyVY7hrcMn9Tg33i +g2EP/Spx0NG4SHyqBqGsGmxBESvrapItzVethfI7vVsp6ZVwc+q8vym0Fjtm +OOsrKyoRZ3eqS3OiFoocNru6GJXYQPj8UlMm42X7I8eZlffQfT2wmjeIjB03 +5avW/q3Ebx1zzeRhMsazotaI8VbB7AX7w/UKdfhSnKWttLMKu3fYGZ/yr8Pe +J7aeBkercJQ1bU6vtw5R7J/zrLWqECybrSF+uB56J34Mel2pwukSb/V6r3oc +08jhirSpwvlgsVmltnocaXU6lOtehaWa+3/c9jWAmx5qRAmuwpsb57+9dGlA +rSJv0HDiit5/NR33KQ34j6e3YaGwCknUhlyxHY0YPLWGeyOlCpsSzLwS7zVC +fcZfX6qvCo59LzTnKhrhvfpW1ImZKjR5nvojLEBBklf0D6PV1dgQGB611pyC +jzbSOg+Eq3GW1UWekkNBcIXwx8AD1XjQPV1lxN6Eq+7X1iepVCNYZFaVuNAE +tpQ5N0K/GnENL7K+JzTBT3n6e7tFNTx6CgRnZpswc/z47e9O1XAwdLxdrdkM +JA1PrPGvxnmlmmyLkGbccx29JxpVDQnfpOnWoWZ4lKotKGZVY0Zh21ahoy2w +s/wdeJ5UjVQDMaV9ni3QcmPfZ91ejUttpWe5aC34t2hN9pqshrOpw/4efipe +T0jeiVysRuUzg8Tt8lRwq57cnLeOhOXRR1u0jKmwYC1pbBIjQf7h9NNLPlTE +SD31HpUj4bZy8nfdNCrKSZ80lk+RECaXpi/NoKK09iCXsBEJhebzH+fmqdhh +9Kn5kC0JfIeFGMk7abDIYgSc8iKBvDYgQuMkDa+qo7TMwkiY/H51Vd0dGuJe +88+7pJDgNOSxVi6EhjDRPYmvS0mwGlzKDiimwf7O4Om0FhJI08x/zf00HHXS +nCQNkuC7mm1knoOOdpUzXj2/SEjf/+wunxQd5qT5jb/X1EDtqmU4jwEdVM6z +cXzbaiD/5r3Z7EM69nBp7dsrXYO3/QeaaqLoMK4bTsLKvjGVE+jwINFhf2K/ +qOHFGniEn/MSn6TjgceGEFurGmzkHGvO4WfAxDme5dmjFduztfiALAOShzpv +xgbWwJVr28mAqwz0JeTWFsXW4Mz7YvsOd8ZK3ZXdSc9diaecpcqbyMAM+br9 +JLkGIpPL6QcaGDB4sL9i1eca7I7/UHL0GwNvB99xbP1ag5dWibfEBZkg8eRq +HWWthdmxhQIWRSZaZ+976AjWIlr444caIyYaIlpzzPfWQpMtW9bBk4mEpd7+ +R8dqoft33X88SUxY7AzkDDlbi8pFskxgIxMVR5UPppnW4rBkSd/fb0w422hd +I9nX4nhCc4yBYCueNqQEdvvWove/VQ/DFVrx9axl3ezbWgjcv/Rf/fVWpP9+ +vIY3vRaDNQ1Wox6tqCV91xWvqMUpCxPPbwmtkCksfafMqIXWGcHs4bpW/KMO +fr04Wos2t4m5mqlWbOM31747X4vlP70Gr9e1IcROOdmXh4yqstmaMzJtuPnv +Pl+0CBlbKBK6M5fbEJjC8qjgCBlrdj+Z8nrUhv/lUzI8auZiWKPbMOgoaTlu +SMb7zEBr66o2bAipH2WxIePcyIkzlSNtiGqvsNnsvuI3367BxtUOd1WB+cPB +ZLge2HjusGQ7SprL/E8nkjF3XMpeR68dup7Vu80LV/TC/0vTt2uHwjUx0qNG +MtKPl//TCG2H65WeWyG9ZExJyN/YVdiOzW4/Nqb/WMnfjNo91dUOnlqTuhr2 +OkgOP7sbv9iOKzL7nvQK1eFQhvkmrZ0dWCSfVp2TqENdtRGTqd6Bvx7k1etU +6vBX9GGy3n8d6P6n2bhPvw7HnxyhF/h1QLr11nM1izpwveUJ4kvtwCyPnMZV +pzqY3eIYMaB0YF98yi+753U4MSPS7Pe1A7Q3pFj/93XIP3rlTOq6TkxO+p5M +yKxDtlS2ScmRTthFfh8tra6DfL/EmpILnbBNY/VobauDjk71iZQHnejeUizw +daIOk3cfCfuGd6J4bHM0x2Id+HUMPPSLO7Fmg6i4yLp6VPWdduPu7kR9ZEuC +vFg9VkmZ8ecuduKXt/iOc3L1qDjyVk5HpAsRjbuCrE7VQ/jHtxmqahcybcmL +HtfrsWB5U/OEWRdkHTZYvL1Xj5tvFiSSvbog2cZByvKsh6l79of5hC6Eh8Vu +bwitx4jI0wLF2i7Y5Q3ZDibXY/ahm5HVWBfKjjSV/S2pR+Dz4HCfNZ/hwmfM +vqGlHjkXyFZB+z8jVTtM88DgSvz2rc3+pz/j1IDjY41f9YgTeFXnYP0Z1zsW +sq6taYANx27DMy8+o1fqQJ/91gYQ2e2uAumfQRtg4XhxsAFRQh/lyE2fQRGm +SyaoNcDJY3en9dfPuDY3c6X0QgNyFBoKWPm74eFmHsC0bMAF1Uj6U+luqH0U +I0+t1CWTNxH7Wc91I9zjKOfqwAY0KdeU3rbthvdi8NntsQ2IPbrtRc2rbqzZ +pR4hm9uArofRb9ZndUPsq+r0WXIDHvLq9enSutFx46XWza4GePyQMHf70Y3d +blIfHk83YHL3UbH363vAobqV5w1LI0qSLEU+He6Be6rhw4wNjfjhXHc5U78H +T0tHJsl7GvHk3UVK/P0eHH5QZd6v2AgvHn63p0E9sGv/OvjnTCO+UGZvGWf1 +QKv/ttV600bkdHO8Fqf1IPuV3Ox++0YMK5340/O9B4Xj+r7qvo1wm8x467eu +F5fGS3dce9sI13Etp12HevHipVOZfVojBmTXBn3S68WV3idmL4hGpNHnRw/c +60Ux8zNvIr0RHeUbnSMCe5Fv50OUjTTi7l+jk3/Te1f6msdObX8aYeVD19dp +6oVtIln2GzcFdSb24QFTvTh0zPQvpwgFgc9Ut1Zy9+HnXwFi5xEKbvS1L49K +9EHEJtVN8QQFg8rt1iyn+5AXsEfuvCEFwokqJry3VmwTv/Hb1hTMC/J18T7t +g+ggJcTr8UpdDzjRzZrUhz+Cc8cigyjo5Rv5b6KmD0p/Vn/OTaCgM3zqAWm4 +DyP+8w5NBRRUb/zkV8/aj4UW2prRBgruD719I7G+H66kwLClHgpc8hStfXb2 +w+rWoR1CPyjo8g9Y1SXdj7Ly/Gjplb7h9c1Qo33oxwOS6FZtoSZEn9B3tdXt +R5zL/VemEk3g3l9pnG3Uj2MDicsPlZtAXTfC/tWmfyWfcqugc02YXii9K+ba +j0SitDHFvAlm09qR5/374SIfva/qQRMODT4NeBTRj0KTm+5dz5pw8bOrelRK +PywUBKgzkU1o7dhdUlzYj0eVcVu4M5uQ0eUxRyX34+9fIROx6ib097+a62/r +x/Dw/fdKbU24/eVCyeRIP467Z7YaTDSt1BuK+tfZlfH1DE6bhSY8450P+MI2 +gN01n4968zdjh3hn5KDAALRkdUwidzVD43IRK1N0AOO9CS9zZZshXTyiW3Fo +AFxV4ySKdjP6zxp7J2EAab2bloevNcNQQCzume4A6DLSKot3mxHOKZN802gA +jqTDnhs9m/FeKihMxWYA7wJ2NEqFNsPG+9id9Y8GoPbql7BWcjOWuQ9J9j0b +wG1KvrVxSTOuku4zksIHIKBuVv2guRku6ctm1kkDOPZjTuTlQDPMyJ1d+/MH +MNzp9CRpthnrBFiUhkgr+f0P/7TAI8DO6w1jAHmX5Qw6trSgTPFwrtbgAIam +HKq/S7WgYtPxpu/fBxBc8l6BS60Fz3a/bg5dHkBFdWa26IUWbDGXK5TlG8T9 +1Z8OH7Nc6ePo4s+p2wYR6xGad96lBS/tbmjePDCIC7KWKtYBLXDQmBj9rTiI +p2JiFK+YFoieKLvvqT0IRZ1648icFgQ59QyvuTyIu2lXfufWtqCh65Tq8/8G +IX6SGdzUuWLfXeXN4TAIw62KMqNTK/GPcma6eQ5i3f6nXUvLLdi235D07dUg +vPfsq/LnoiLXhMRnFD2I+cPrhIU3UFf4cUtPTfogvsgt3E3YRkVOxTVpidJB +XJGdqj20hwqRL76rnzcMQl2qX6RMmgrazUiTkY5BpOzocD6lSEXz0Ujl42OD +eM7FXOEzKtad940O/DWIiWnGIbMzVERWXvXpZhtCVWP7Cq9Rcddz8zdxgSEI +x/d9cTGh4llIVfftnUOYtP9ymvMWFVNzF3U/HhyCnMq/1Nd2VISkMTTGjg9h +gZWfZ6crFe7ZSmU7dIagRuy5m7bSxxZzBRbrXxkC2wN1msJLKo5lNyh5WK74 +95jJ1oRTwZb2QzXVcQh/W3wi9OOo2Di3qqHFawjS9uksvR+psHm91PY9aAgD +/J2Wt/Oo4H0ybMkbMwS+D2uov8up+EXkuop/GkKh7HFFrzoq9py7t1GxdAjd +5fbx/PSV9R0WPKLdMITHahl8kZ+pMLKIp5/vGEJE2VeXfSNUmI1vmzMcHcKR +o0fGc79SkVnmEX11dgin4pwvqf2hQnu4ufHyqmEcFIwrbmKloTTIOEJ/3TDk +g59yXeWmIf6f7/5TO4Zhvk1KY1SQhiVRbWcVyWHkZL+0tNtBA4U97tnhY8MQ +v5j5YGkvDdwJIVd3aQ+jnO2l7fPDNFQsi0ytuzQM5/IDFzcp0TAupKmyaD6M +yz6+u+M0aXgyxaI/dn8YVw2je6V0aQh0u7S7xX0YT+QfeBVdpmF9o2ZhbsAw +GnZxbzhxgwb21kae8HfDUNhiHEC9TYP1uy+izinDqNl+b+aaAw1qOxLmLhcM +45GUivqY20o8o18BMjXDMDjV6GrnR8O5K33DfIxh6N7fFLf4akV/vdnCaP8w +7iWKZD+NoK3wkBuz9OswskdG0zYk0KBZcPjOq4VhbD5iExyVTgM14XHtjbUj +SHyeYba/gAaarkX/IeERXPiWuT23ggatvMHiRfER7DW1rVZpoOFgx/zFuqMj +2N4zbVDPoOFpQUrWK7URyN/c32LQQ8Mlg5mmS3ojcPi3S7Z3dIVTPjJStxiN +oDWyy8fqOw2nK85qd98ewbG4l+t/ztPQ8We1XcTDEXiYP9n3mI2OlNLYnwa+ +I/jQn9HAxUtHIbdo05qQEWRu3vondBMdPKNeS4WxI4jkq87ctZOOWJ0GP4uM +EdwikmYz9tPhdHzWirtsBMKS9dXKR+l4Vcgal94wgo8X9u6gHKdjkpg5otMx +gh2KVWzXtOjwOU/eOjwyAsfOtw6TenRY2Lpff/hzZbzsJ1uXK3R4bt76i5N1 +FKSzy3NrzekYPBsxEsw3ilLRgLWRNnR48C5Kbd42ioisy8lSD1bmG2o0R+wf +heGiMY1wp+PZwTu1QvKj+MMe73P+GR0/XrpseqU5Ctc6sZqRYDoiXK3L2c6P +ou/kwEvnSDq8Z1FuZzKKPV6fR3g/0JE5N7exx2YUei4C5LgMOnb4vq5RdxnF +VSnfowpFdLRECzTF+41CK1LuQHMVHRWajpIsoaMQJIt9sqDQMeNQMnQ5bhS1 +6WdL/7XSYXVoZCY1YxSXzuVefN1Hx3anmSvzpaNo/HTZ5cAEHYKnhoQ1GkZh +mr3/ZNUMHQpl1pV+7Sv6mts6Ly6szJ/ESO3wKCItpA+NrmbgI9XEm2VmRX+D ++Rn7Fe5bvNcYJbM8Cp1zBVKLwgwY1XnKmPOMIWOrJNNrFwOMTp8TLzaP4Z5j +JTglGbiUwKRl7BnDCyMnW78VTpzce6+z6egYVjPP3mQHAy8tLl4fVR1Dd4em +6GNtBjSv+l2dPzsGPpvrsbP6DHBxrWZyXBtDVEDIqOU1Bnptm+v4rMb+3/kB +AxVBQwrrHcdAfXSzQvMuA/nWmrv5PMfgpM1y8ZMTA8TS9+erX47BJb74k+AT +BtpPT9jMvRtD54vQJqfnDCzpSTUMJY8hjD0oq/01A/J8ZXENeWP4tDb9qsx7 +Bh77hP/+WDUGseiJuoAPDHTkETV+LWP4Xam1MJTBgHr0kXXG3WPYY0+alSti +oFTtR+vBiTFkZptl+1YxoPX+36a/v8bw1kNUltHIwGCWPoNYNY7ebha3ba0M +BLj/XOvBPw4PMstzs14GtDkGyo5tG4fFvS+3M8cYOBNGyV3cN447bP4H1/9g +oMXdqrBNdhzvvPqY3n8ZaK7KratRH8e3b+NG3OxMnDXJGmnQG8ct7aT6BF4m +dC4a849eH8fagC3C+kJM1CeUnBC6PY6mMvVT60SZqDpb/9TYaRxFXSJGgxJM +HD/n11HiPY76oSwDsgwTcmm/ZKSDx7HU8UeSUGEi9YZgVGH0OK4Uz47XazMR +Yzu0wTB9HJ/9Ev3GzjOxqdMsjLdkfOX/zs0lvMLlrO+CxTvrxnF6TPzONUsm +7PLtKovbxqHs/Csn6z4T5gfYbmcPj+PCnHPPFlcmqPMaIhUz4wi+kTMR5stE +majswBDLBH4VxHaIBzEhEd+WsZ1/Aq5/NVPJ75gQeLzP/872CUjuf2/s+oEJ +12wJe8aBCbBopv7SyFrhftUeS71jE5g/bXNvRykTDXtVbw1oT0BYZaiRm8zE +JysDp2eXJ2C0nZ+bh74Sj00o+OTNCdSOf5MU6WHi++/nRVsdJ3ApxufwiXEm +zmtmTK/2noCqhk2Y8k8mLJNDvbOCJ1BIRSd5kQmrfj1mfcwESrRZ/g5xtuL8 +VE+37qcJ6H5M/xO0vhX7KIhSLZmAzZ+TrbQtrZh0dxSOJk9g86GWl/FirQjj +dNe5zZiAwXmNfZySrZAyvy4f1zuBrSYJUQtHW5EVzNutNTGBe5dmZryUWrEj +LFjR8OcE9BWkxN+rt8Lpzhe9toUJVLJflNU+1YpSYWGRytWTKC+5Jeaj14rx +N8JJwnyT0DKy/mZwsRUsX6bGujdO4v8ANmpDLw== "]]}}, {{ Directive[ PointSize[0.009166666666666668], @@ -369692,6 +369170,7 @@ dXi//Ji3+Uk0PmM0nP9CDeggnWiH/2Ag53AeGB11QdEOytceBTPEKDrcAXp3 qnO0w8pvLyvOHIDytaHydxQcniydfURBAirfoODw5e+Vipf/ouD878GPl86+ guDD4s99zdHlDBWKcP6HResVzu5QhvNh/oWlD3Q+LH0AAH7f+BM= "]], + FilledCurveBox[{{{0, 2, 0}, {0, 1, 0}, {0, 1, 0}, {1, 3, 3}, {1, 3, 3}, {0, 1, 0}, {1, 3, 3}, {0, 1, 0}, {0, 1, 0}, {0, 1, 0}, { 1, 3, 3}, {0, 1, 0}, {0, 1, 0}}, {{1, 4, 3}, {1, 3, 3}, {1, 3, @@ -370083,7 +369562,6 @@ vuqT5nlnsxD8/yAQj+DX/rYqOBdh4/BhQ0D2LHc7h3qWo/2G4jYOMQqOH5PX pQPns3B2ySef04H7/8+30gdzNurAwwfGh4UfjH8AFK6T7CH+n6/ncAYETBwc ToPtN3SYCQITHRxOgvjrjODxJwGy18nEAT1+AYB32wA= "]], - FilledCurveBox[{{{0, 2, 0}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {0, 1, 0}, {0, 1, 0}, {0, 1, 0}, {1, 3, 3}, {1, 3, 3}, {1, 3, @@ -370335,7 +369813,6 @@ nt6mg6IzUh7OF66cVHJWRRHOv6Mpu+b/YiW4frBxa5Qh6eQmgg92RpkJnM8H ij8OUwf3NUeXM2goO0hMvcKZ0WQKSa+6Sg6Q+IamLz9FiHvlzaDpT8HhhtAn x/NhZg4xqhEy5/7IQtLnOgQfPb8DAJgB11o= "]], - FilledCurveBox[{{{0, 2, 0}, {0, 1, 0}, {1, 3, 3}, {1, 3, 3}, {0, 1, 0}, {0, 1, 0}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {0, 1, 0}, {0, 1, 0}, {0, 1, 0}, {1, 3, 3}, {0, 1, 0}, {0, 1, 0}, {0, 1, 0}, {1, 3, @@ -370443,7 +369920,6 @@ DmdA4I8lRF4Yys+xcOD1Xz8l9YWhQ91vq4JzN8zgfJh/YXywPd0mEH8LGDmA nG982Qgif8PIITn2jhuzhaHD4bbl4ac2GTtIzIvTPP1BD+6+FJC8hC7c/TA+ zH8wPsz/61WBEaKL4MPiFwCFJMZB "]], - FilledCurveBox[{{{0, 2, 0}, {1, 3, 3}, {0, 1, 0}, {0, 1, 0}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {0, 1, 0}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {0, 1, 0}, {0, 1, 0}, {0, 1, 0}, {0, 1, @@ -370458,6 +369934,7 @@ VguoOeZQfZZw/8+YCQSeVg7doPB5aAHn+5xgt53NagXnbwCF9xkriD+aLeH8 YpB74yzgfLA7/M0hfB2gflC8cJs5fAKFD7slxNyrJg4OIH/PNoOY52wE54Os mylpBLH3pTWcjx7/AO/M5nI= "]], + FilledCurveBox[{{{1, 4, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}}}, CompressedData[" @@ -370514,7 +369991,6 @@ tUfBDDqKDomg9LMQmp4yFBzA6fkQIj2jp28A75FP6A== 3.8390599999999995`}, {38.37659999999999, 3.8390599999999995`}, { 38.37659999999999, 4.695309999999999}, {35.02969999999999, 4.695309999999999}}}], - FilledCurveBox[{{{0, 2, 0}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {0, 1, 0}, {0, 1, 0}, {0, 1, 0}, {1, 3, 3}, {1, 3, 3}, {1, 3, @@ -370673,6 +370149,7 @@ b7/IMA/BB7tnMoK/QS9vMWOPjcOHDQHZs9ztHLaY/ziU4mXjEKPg+DF5jb3D fkb+h9aTJrpw/6fE3nFjltCFhw+MDws/GP9A2/LwU5PsHVJB4c2m73AGBEwc HP5+K30wJ9DQYSYITHRw+APiPzSCx5/PCXbb2aUmDujxCwB51M4d "]], + FilledCurveBox[{{{0, 2, 0}, {0, 1, 0}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {0, 1, 0}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, @@ -370691,7 +370168,6 @@ eF4yFf5n/yJL+9v0XGOo/cwOEeLbLzKsM3JYcmv5Y8NmDgdxkPudjKDhKgjn 1QzOB/vvl5nDfdd4x1mKyg5eJ9htZ7eaOzhPaBZK41JyACULo6/mDsrXHgUz 6Cg6qIPCW9MCkp4yFBzsQeGZjUjP6OkbANokTrE= "]], - FilledCurveBox[{{{0, 2, 0}, {0, 1, 0}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {0, 1, 0}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, 3}, {1, 3, @@ -371267,9 +370743,10 @@ TpjDXxB/v5yDguPH5DNHQx1EKieVnH0i74CeXwBda4MX RowBox[{#2, ",", "After"}], "]"}]}], "]"}]& )]], "Output", CellChangeTimes->{{3.7971783177707653`*^9, 3.797178325201996*^9}, 3.7971827252066383`*^9, 3.797328137673478*^9, 3.7974968828875732`*^9, - 3.797497348912525*^9, 3.79749802511693*^9, 3.797499084579069*^9}, + 3.797497348912525*^9, 3.79749802511693*^9, 3.797499084579069*^9, { + 3.79750472003246*^9, 3.797504731786365*^9}}, CellLabel-> - "Out[259]=",ExpressionUUID->"dffe774d-7dee-4780-a9f9-63e4c487038a"] + "Out[341]=",ExpressionUUID->"b59a80b7-5bc7-46fd-9261-b04b46fd2922"] }, Open ]], Cell[CellGroupData[{ @@ -391940,171 +391417,171 @@ Cell[CellGroupData[{ Cell[15337709, 364440, 179, 3, 84, "Section",ExpressionUUID->"cf8f6c8e-c59a-4828-8c32-df4820734500"], Cell[15337891, 364445, 1467, 29, 306, "Code",ExpressionUUID->"0527fb6c-d312-49f0-a800-b985047134b5"], Cell[15339361, 364476, 1792, 41, 89, "Code",ExpressionUUID->"3053fc54-a711-42db-bdb9-fe3d0985162c"], -Cell[15341156, 364519, 12314, 327, 662, "Input",ExpressionUUID->"f9315378-c650-4b01-adc0-3a8dfaf073d2"], +Cell[15341156, 364519, 12359, 327, 662, "Input",ExpressionUUID->"f9315378-c650-4b01-adc0-3a8dfaf073d2"], Cell[CellGroupData[{ -Cell[15353495, 364850, 5128, 96, 480, "Input",ExpressionUUID->"abd4eab1-6449-43ab-964f-c00dcb6b555b"], -Cell[15358626, 364948, 170864, 3027, 308, "Output",ExpressionUUID->"93ec7229-5faa-4ec7-a9fc-37ee164cbdc3"] +Cell[15353540, 364850, 5131, 95, 480, "Input",ExpressionUUID->"abd4eab1-6449-43ab-964f-c00dcb6b555b"], +Cell[15358674, 364947, 155326, 2773, 308, "Output",ExpressionUUID->"f7bdd1f0-2028-489b-a302-246ff26b6ef9"] }, Open ]], Cell[CellGroupData[{ -Cell[15529527, 367980, 3573, 75, 480, "Input",ExpressionUUID->"b3f6951d-bf84-466d-8be0-5fa497cd2249"], -Cell[15533103, 368057, 181138, 3214, 347, "Output",ExpressionUUID->"dffe774d-7dee-4780-a9f9-63e4c487038a"] +Cell[15514037, 367725, 3552, 74, 480, "Input",ExpressionUUID->"b3f6951d-bf84-466d-8be0-5fa497cd2249"], +Cell[15517592, 367801, 164911, 2947, 347, "Output",ExpressionUUID->"b59a80b7-5bc7-46fd-9261-b04b46fd2922"] }, Open ]], Cell[CellGroupData[{ -Cell[15714278, 371276, 5803, 146, 576, "Input",ExpressionUUID->"480efb8a-9a05-41e0-9e2f-f1efa4801aa1"], -Cell[15720084, 371424, 76816, 1397, 563, "Output",ExpressionUUID->"c8000803-fd24-4ba8-87c0-cc5814d0d1ac"] +Cell[15682540, 370753, 5803, 146, 576, "Input",ExpressionUUID->"480efb8a-9a05-41e0-9e2f-f1efa4801aa1"], +Cell[15688346, 370901, 76816, 1397, 563, "Output",ExpressionUUID->"c8000803-fd24-4ba8-87c0-cc5814d0d1ac"] }, Open ]], Cell[CellGroupData[{ -Cell[15796937, 372826, 3718, 85, 152, "Input",ExpressionUUID->"4ced83df-82fb-479a-80ad-f54999d07ac1"], -Cell[15800658, 372913, 393, 10, 42, "Output",ExpressionUUID->"e761cef7-ba89-40f0-af81-9f25b144f7d8"] +Cell[15765199, 372303, 3718, 85, 152, "Input",ExpressionUUID->"4ced83df-82fb-479a-80ad-f54999d07ac1"], +Cell[15768920, 372390, 393, 10, 42, "Output",ExpressionUUID->"e761cef7-ba89-40f0-af81-9f25b144f7d8"] }, Open ]], Cell[CellGroupData[{ -Cell[15801088, 372928, 396, 10, 37, "Input",ExpressionUUID->"435d8ce4-d7ff-48f6-a36d-eeae80173fe5"], -Cell[15801487, 372940, 395, 10, 42, "Output",ExpressionUUID->"768775f5-78ab-4c99-8cde-2d755074e776"] +Cell[15769350, 372405, 396, 10, 37, "Input",ExpressionUUID->"435d8ce4-d7ff-48f6-a36d-eeae80173fe5"], +Cell[15769749, 372417, 395, 10, 42, "Output",ExpressionUUID->"768775f5-78ab-4c99-8cde-2d755074e776"] }, Open ]], Cell[CellGroupData[{ -Cell[15801919, 372955, 5015, 104, 596, "Input",ExpressionUUID->"3e1d531d-968b-4347-a125-72660d1219b5"], -Cell[15806937, 373061, 40094, 699, 292, "Output",ExpressionUUID->"b79b5882-ebd6-4e5c-bf2f-22c76bb87f38"] +Cell[15770181, 372432, 5015, 104, 596, "Input",ExpressionUUID->"3e1d531d-968b-4347-a125-72660d1219b5"], +Cell[15775199, 372538, 40094, 699, 292, "Output",ExpressionUUID->"b79b5882-ebd6-4e5c-bf2f-22c76bb87f38"] }, Open ]], Cell[CellGroupData[{ -Cell[15847068, 373765, 1006, 34, 41, "Input",ExpressionUUID->"ed4f0a44-6146-4637-aef9-adbd4d2214f4"], -Cell[15848077, 373801, 180, 3, 42, "Output",ExpressionUUID->"8834c727-28ee-4177-9c26-b5e90ee0942b"] +Cell[15815330, 373242, 1006, 34, 41, "Input",ExpressionUUID->"ed4f0a44-6146-4637-aef9-adbd4d2214f4"], +Cell[15816339, 373278, 180, 3, 42, "Output",ExpressionUUID->"8834c727-28ee-4177-9c26-b5e90ee0942b"] }, Open ]], Cell[CellGroupData[{ -Cell[15848294, 373809, 875, 25, 93, "Input",ExpressionUUID->"827a1054-eda5-4739-83bf-0386aaca1ac7"], -Cell[15849172, 373836, 538, 15, 43, "Output",ExpressionUUID->"60b9cb12-eca4-44cc-85c0-c21c1c2ccac5"] +Cell[15816556, 373286, 875, 25, 93, "Input",ExpressionUUID->"827a1054-eda5-4739-83bf-0386aaca1ac7"], +Cell[15817434, 373313, 538, 15, 43, "Output",ExpressionUUID->"60b9cb12-eca4-44cc-85c0-c21c1c2ccac5"] }, Open ]], Cell[CellGroupData[{ -Cell[15849747, 373856, 1086, 37, 57, "Input",ExpressionUUID->"cdad1fe6-ff9f-408c-a306-2a11ba6ebd87"], -Cell[15850836, 373895, 182, 3, 42, "Output",ExpressionUUID->"c8179154-92d6-479f-ba30-b6df072b5d55"] +Cell[15818009, 373333, 1086, 37, 57, "Input",ExpressionUUID->"cdad1fe6-ff9f-408c-a306-2a11ba6ebd87"], +Cell[15819098, 373372, 182, 3, 42, "Output",ExpressionUUID->"c8179154-92d6-479f-ba30-b6df072b5d55"] }, Open ]], Cell[CellGroupData[{ -Cell[15851055, 373903, 12100, 237, 1260, "Input",ExpressionUUID->"0a7923af-71ee-45df-8b56-0e8b12a0a6d1"], -Cell[15863158, 374142, 8842, 152, 1009, "Output",ExpressionUUID->"5db96a25-79a9-4efe-8b1f-76b5aa8f7756"] +Cell[15819317, 373380, 12100, 237, 1260, "Input",ExpressionUUID->"0a7923af-71ee-45df-8b56-0e8b12a0a6d1"], +Cell[15831420, 373619, 8842, 152, 1009, "Output",ExpressionUUID->"5db96a25-79a9-4efe-8b1f-76b5aa8f7756"] }, Open ]] }, Open ]], Cell[CellGroupData[{ -Cell[15872049, 374300, 225, 4, 84, "Section",ExpressionUUID->"f52c481b-2327-47bd-b23f-929a336b5740"], -Cell[15872277, 374306, 1466, 29, 298, "Input",ExpressionUUID->"7ba6b269-e860-40b6-aa38-f199d4dfc390"], -Cell[15873746, 374337, 10942, 311, 662, "Input",ExpressionUUID->"c7746a17-1add-47d6-9260-6df14430c1a3"], -Cell[15884691, 374650, 1300, 31, 37, "Input",ExpressionUUID->"07e73e2b-48a5-4642-9417-f48c2b3cf550"], +Cell[15840311, 373777, 225, 4, 84, "Section",ExpressionUUID->"f52c481b-2327-47bd-b23f-929a336b5740"], +Cell[15840539, 373783, 1466, 29, 298, "Input",ExpressionUUID->"7ba6b269-e860-40b6-aa38-f199d4dfc390"], +Cell[15842008, 373814, 10942, 311, 662, "Input",ExpressionUUID->"c7746a17-1add-47d6-9260-6df14430c1a3"], +Cell[15852953, 374127, 1300, 31, 37, "Input",ExpressionUUID->"07e73e2b-48a5-4642-9417-f48c2b3cf550"], Cell[CellGroupData[{ -Cell[15886016, 374685, 4261, 78, 428, "Input",ExpressionUUID->"602f0900-7f7d-4d52-a070-f83c0ac6ed77"], -Cell[15890280, 374765, 127060, 2271, 296, "Output",ExpressionUUID->"b9e63d08-dfaf-48f9-92f3-7756bbd1510a"] +Cell[15854278, 374162, 4261, 78, 428, "Input",ExpressionUUID->"602f0900-7f7d-4d52-a070-f83c0ac6ed77"], +Cell[15858542, 374242, 127060, 2271, 296, "Output",ExpressionUUID->"b9e63d08-dfaf-48f9-92f3-7756bbd1510a"] }, Open ]], Cell[CellGroupData[{ -Cell[16017377, 377041, 2830, 60, 428, "Input",ExpressionUUID->"74b01204-6ed1-4565-9dc3-493748b8baba"], -Cell[16020210, 377103, 122380, 2186, 332, "Output",ExpressionUUID->"291aabce-0e42-4de4-9398-b5a031b29d14"] +Cell[15985639, 376518, 2830, 60, 428, "Input",ExpressionUUID->"74b01204-6ed1-4565-9dc3-493748b8baba"], +Cell[15988472, 376580, 122380, 2186, 332, "Output",ExpressionUUID->"291aabce-0e42-4de4-9398-b5a031b29d14"] }, Open ]], Cell[CellGroupData[{ -Cell[16142627, 379294, 2242, 55, 309, "Input",ExpressionUUID->"2b3668cf-0afe-4101-b9c6-a8266ed46749"], -Cell[16144872, 379351, 18397, 343, 309, "Output",ExpressionUUID->"b5742a85-dea6-4c18-9951-2ce62dadcb19"] +Cell[16110889, 378771, 2242, 55, 309, "Input",ExpressionUUID->"2b3668cf-0afe-4101-b9c6-a8266ed46749"], +Cell[16113134, 378828, 18397, 343, 309, "Output",ExpressionUUID->"b5742a85-dea6-4c18-9951-2ce62dadcb19"] }, Open ]], -Cell[16163284, 379697, 1745, 40, 61, "Input",ExpressionUUID->"a3de568f-6397-4cbb-b5f7-b0dab8cb97bf"], +Cell[16131546, 379174, 1745, 40, 61, "Input",ExpressionUUID->"a3de568f-6397-4cbb-b5f7-b0dab8cb97bf"], Cell[CellGroupData[{ -Cell[16165054, 379741, 2558, 57, 98, "Input",ExpressionUUID->"fdbd10c2-f3dd-4f14-a3d6-dc11f0091954"], -Cell[16167615, 379800, 1094, 20, 42, "Output",ExpressionUUID->"79329edf-52d4-457d-a672-1025b9595c66"] +Cell[16133316, 379218, 2558, 57, 98, "Input",ExpressionUUID->"fdbd10c2-f3dd-4f14-a3d6-dc11f0091954"], +Cell[16135877, 379277, 1094, 20, 42, "Output",ExpressionUUID->"79329edf-52d4-457d-a672-1025b9595c66"] }, Open ]], -Cell[16168724, 379823, 1790, 40, 61, "Input",ExpressionUUID->"225241c3-33d9-4310-84ba-d8734640e0de"], -Cell[16170517, 379865, 2540, 55, 98, "Input",ExpressionUUID->"3f531de4-75e7-4225-8e55-c8e46d93b5af"], -Cell[16173060, 379922, 418, 10, 37, InheritFromParent,ExpressionUUID->"4807fbf5-b84c-4d81-ab8b-fc347158d244"], -Cell[16173481, 379934, 398, 10, 42, "Output",ExpressionUUID->"21bc6cf6-accb-4361-ad00-dfc71d4c52b7"], -Cell[16173882, 379946, 4073, 91, 622, "Input",ExpressionUUID->"b73e1773-6edf-420d-97ea-e2f403c9a519"], +Cell[16136986, 379300, 1790, 40, 61, "Input",ExpressionUUID->"225241c3-33d9-4310-84ba-d8734640e0de"], +Cell[16138779, 379342, 2540, 55, 98, "Input",ExpressionUUID->"3f531de4-75e7-4225-8e55-c8e46d93b5af"], +Cell[16141322, 379399, 418, 10, 37, InheritFromParent,ExpressionUUID->"4807fbf5-b84c-4d81-ab8b-fc347158d244"], +Cell[16141743, 379411, 398, 10, 42, "Output",ExpressionUUID->"21bc6cf6-accb-4361-ad00-dfc71d4c52b7"], +Cell[16142144, 379423, 4073, 91, 622, "Input",ExpressionUUID->"b73e1773-6edf-420d-97ea-e2f403c9a519"], Cell[CellGroupData[{ -Cell[16177980, 380041, 986, 29, 70, "Input",ExpressionUUID->"ae070d17-12b4-413c-af0d-ea06f0509ac1"], -Cell[16178969, 380072, 496, 14, 43, "Output",ExpressionUUID->"61fa5d44-992e-4d43-a6ce-8a99de64cd72"] +Cell[16146242, 379518, 986, 29, 70, "Input",ExpressionUUID->"ae070d17-12b4-413c-af0d-ea06f0509ac1"], +Cell[16147231, 379549, 496, 14, 43, "Output",ExpressionUUID->"61fa5d44-992e-4d43-a6ce-8a99de64cd72"] }, Open ]], Cell[CellGroupData[{ -Cell[16179502, 380091, 1436, 45, 68, "Input",ExpressionUUID->"ce7948e3-c67c-4204-92e1-3e37d62a77b7"], -Cell[16180941, 380138, 275, 5, 42, "Output",ExpressionUUID->"6ae43642-bb7b-4b1a-b6de-404d687ba225"] +Cell[16147764, 379568, 1436, 45, 68, "Input",ExpressionUUID->"ce7948e3-c67c-4204-92e1-3e37d62a77b7"], +Cell[16149203, 379615, 275, 5, 42, "Output",ExpressionUUID->"6ae43642-bb7b-4b1a-b6de-404d687ba225"] }, Open ]], Cell[CellGroupData[{ -Cell[16181253, 380148, 1307, 44, 68, "Input",ExpressionUUID->"780b7dbf-22a6-48a3-8616-b43d7eee891d"], -Cell[16182563, 380194, 156, 3, 42, "Output",ExpressionUUID->"0ea470b4-e8d3-4f6a-a60a-5be97255d2dc"] +Cell[16149515, 379625, 1307, 44, 68, "Input",ExpressionUUID->"780b7dbf-22a6-48a3-8616-b43d7eee891d"], +Cell[16150825, 379671, 156, 3, 42, "Output",ExpressionUUID->"0ea470b4-e8d3-4f6a-a60a-5be97255d2dc"] }, Open ]] }, Open ]], Cell[CellGroupData[{ -Cell[16182768, 380203, 156, 3, 65, "Section",ExpressionUUID->"7e57ea5d-eda6-4859-be05-fbdab0057af8"], -Cell[16182927, 380208, 1046, 21, 246, "Input",ExpressionUUID->"9b0a9925-7ea3-472a-8b52-c47b533609b7"], -Cell[16183976, 380231, 12056, 320, 662, "Input",ExpressionUUID->"e2303c87-a8e1-4df1-944f-cd2b088263a9"], +Cell[16151030, 379680, 156, 3, 65, "Section",ExpressionUUID->"7e57ea5d-eda6-4859-be05-fbdab0057af8"], +Cell[16151189, 379685, 1046, 21, 246, "Input",ExpressionUUID->"9b0a9925-7ea3-472a-8b52-c47b533609b7"], +Cell[16152238, 379708, 12056, 320, 662, "Input",ExpressionUUID->"e2303c87-a8e1-4df1-944f-cd2b088263a9"], Cell[CellGroupData[{ -Cell[16196057, 380555, 2544, 43, 272, "Input",ExpressionUUID->"c25cd409-06ed-474f-bd83-a59b0babaccb"], -Cell[16198604, 380600, 72082, 1437, 484, "Output",ExpressionUUID->"a3e56d39-d567-4bc8-a07b-1909c4f1269b"] +Cell[16164319, 380032, 2544, 43, 272, "Input",ExpressionUUID->"c25cd409-06ed-474f-bd83-a59b0babaccb"], +Cell[16166866, 380077, 72082, 1437, 484, "Output",ExpressionUUID->"a3e56d39-d567-4bc8-a07b-1909c4f1269b"] }, Open ]], Cell[CellGroupData[{ -Cell[16270723, 382042, 1442, 29, 272, "Input",ExpressionUUID->"b6366ba0-47af-4fdb-8ffb-513b455421e0"], -Cell[16272168, 382073, 74203, 1485, 479, "Output",ExpressionUUID->"aee73340-d188-4c02-9c0b-bc1febe9fc98"] +Cell[16238985, 381519, 1442, 29, 272, "Input",ExpressionUUID->"b6366ba0-47af-4fdb-8ffb-513b455421e0"], +Cell[16240430, 381550, 74203, 1485, 479, "Output",ExpressionUUID->"aee73340-d188-4c02-9c0b-bc1febe9fc98"] }, Open ]], -Cell[16346386, 383561, 893, 24, 37, "Input",ExpressionUUID->"5add9728-32e3-4599-868d-3a1b2239db00"], +Cell[16314648, 383038, 893, 24, 37, "Input",ExpressionUUID->"5add9728-32e3-4599-868d-3a1b2239db00"], Cell[CellGroupData[{ -Cell[16347304, 383589, 1514, 40, 108, "Input",ExpressionUUID->"2a0688ee-74ad-46db-ba7b-224b2b808675"], -Cell[16348821, 383631, 1720, 28, 95, "Output",ExpressionUUID->"eca99428-ff78-4db9-b494-5f3bcf2667f9"], -Cell[16350544, 383661, 1708, 27, 95, "Output",ExpressionUUID->"8ddf8bc8-1463-44d4-b852-ee67ae09ad31"] +Cell[16315566, 383066, 1514, 40, 108, "Input",ExpressionUUID->"2a0688ee-74ad-46db-ba7b-224b2b808675"], +Cell[16317083, 383108, 1720, 28, 95, "Output",ExpressionUUID->"eca99428-ff78-4db9-b494-5f3bcf2667f9"], +Cell[16318806, 383138, 1708, 27, 95, "Output",ExpressionUUID->"8ddf8bc8-1463-44d4-b852-ee67ae09ad31"] }, Open ]], -Cell[16352267, 383691, 1891, 42, 61, "Input",ExpressionUUID->"5a387996-123d-49ae-82fd-6a5880df6248"], -Cell[16354161, 383735, 2490, 54, 98, "Input",ExpressionUUID->"eea77d81-2482-41a9-a42f-4d2642a2975b"], -Cell[16356654, 383791, 350, 8, 37, InheritFromParent,ExpressionUUID->"ca9a55bb-28bc-40cf-a0da-e4b6a558812f"], -Cell[16357007, 383801, 351, 8, 42, "Output",ExpressionUUID->"686e79ab-49c0-4140-ac3f-11851338bc55"], +Cell[16320529, 383168, 1891, 42, 61, "Input",ExpressionUUID->"5a387996-123d-49ae-82fd-6a5880df6248"], +Cell[16322423, 383212, 2490, 54, 98, "Input",ExpressionUUID->"eea77d81-2482-41a9-a42f-4d2642a2975b"], +Cell[16324916, 383268, 350, 8, 37, InheritFromParent,ExpressionUUID->"ca9a55bb-28bc-40cf-a0da-e4b6a558812f"], +Cell[16325269, 383278, 351, 8, 42, "Output",ExpressionUUID->"686e79ab-49c0-4140-ac3f-11851338bc55"], Cell[CellGroupData[{ -Cell[16357383, 383813, 4031, 89, 622, "Input",ExpressionUUID->"9b865922-379a-437f-9465-86ea61099c7c"], -Cell[16361417, 383904, 41798, 734, 475, "Output",ExpressionUUID->"f3910331-e22e-4ec4-bc09-cddeacb1e34b"] +Cell[16325645, 383290, 4031, 89, 622, "Input",ExpressionUUID->"9b865922-379a-437f-9465-86ea61099c7c"], +Cell[16329679, 383381, 41798, 734, 475, "Output",ExpressionUUID->"f3910331-e22e-4ec4-bc09-cddeacb1e34b"] }, Open ]], Cell[CellGroupData[{ -Cell[16403252, 384643, 1875, 56, 128, "Input",ExpressionUUID->"c985275f-ef22-4f69-b6cc-ef3826053597"], -Cell[16405130, 384701, 672, 19, 43, "Output",ExpressionUUID->"898aac3e-1016-4685-a14a-61fdedacf977"], -Cell[16405805, 384722, 674, 19, 43, "Output",ExpressionUUID->"132f03f3-ad06-4c9d-aac7-3f9d9f66b481"] +Cell[16371514, 384120, 1875, 56, 128, "Input",ExpressionUUID->"c985275f-ef22-4f69-b6cc-ef3826053597"], +Cell[16373392, 384178, 672, 19, 43, "Output",ExpressionUUID->"898aac3e-1016-4685-a14a-61fdedacf977"], +Cell[16374067, 384199, 674, 19, 43, "Output",ExpressionUUID->"132f03f3-ad06-4c9d-aac7-3f9d9f66b481"] }, Open ]], Cell[CellGroupData[{ -Cell[16406516, 384746, 1875, 57, 159, "Input",ExpressionUUID->"dca1f039-5557-487f-84a9-a8d0593e13b8"], -Cell[16408394, 384805, 262, 5, 42, "Output",ExpressionUUID->"3134ce42-00f8-4572-ab03-8c4f079f2642"], -Cell[16408659, 384812, 260, 5, 42, "Output",ExpressionUUID->"0963bb54-ec43-4bfe-a391-e16b76eeb60a"] +Cell[16374778, 384223, 1875, 57, 159, "Input",ExpressionUUID->"dca1f039-5557-487f-84a9-a8d0593e13b8"], +Cell[16376656, 384282, 262, 5, 42, "Output",ExpressionUUID->"3134ce42-00f8-4572-ab03-8c4f079f2642"], +Cell[16376921, 384289, 260, 5, 42, "Output",ExpressionUUID->"0963bb54-ec43-4bfe-a391-e16b76eeb60a"] }, Open ]], Cell[CellGroupData[{ -Cell[16408956, 384822, 268, 5, 37, "Input",ExpressionUUID->"260825fc-6f14-4563-abdf-cc6efaff9248"], -Cell[16409227, 384829, 219, 4, 42, "Output",ExpressionUUID->"b633d784-e906-43ee-a7a0-429a7dd7461b"] +Cell[16377218, 384299, 268, 5, 37, "Input",ExpressionUUID->"260825fc-6f14-4563-abdf-cc6efaff9248"], +Cell[16377489, 384306, 219, 4, 42, "Output",ExpressionUUID->"b633d784-e906-43ee-a7a0-429a7dd7461b"] }, Open ]], -Cell[16409461, 384836, 159, 3, 37, "Input",ExpressionUUID->"5fc45f6b-6f0f-4bda-a789-8f5d1948060d"], +Cell[16377723, 384313, 159, 3, 37, "Input",ExpressionUUID->"5fc45f6b-6f0f-4bda-a789-8f5d1948060d"], Cell[CellGroupData[{ -Cell[16409645, 384843, 221, 4, 37, "Input",ExpressionUUID->"9863fdf6-bc1e-47aa-a3e5-292ea3e209b0"], -Cell[16409869, 384849, 173, 3, 42, "Output",ExpressionUUID->"d132a5b0-7803-4330-989e-9828b19a8db2"] +Cell[16377907, 384320, 221, 4, 37, "Input",ExpressionUUID->"9863fdf6-bc1e-47aa-a3e5-292ea3e209b0"], +Cell[16378131, 384326, 173, 3, 42, "Output",ExpressionUUID->"d132a5b0-7803-4330-989e-9828b19a8db2"] }, Open ]] }, Closed]], Cell[CellGroupData[{ -Cell[16410091, 384858, 161, 3, 65, "Section",ExpressionUUID->"aa4e43b6-16bb-48ec-b510-dd62918a249d"], +Cell[16378353, 384335, 161, 3, 65, "Section",ExpressionUUID->"aa4e43b6-16bb-48ec-b510-dd62918a249d"], Cell[CellGroupData[{ -Cell[16410277, 384865, 11273, 236, 1325, "Input",ExpressionUUID->"74a386fd-d786-4f8e-ac35-d0ff9ba081f6"], -Cell[16421553, 385103, 9389, 154, 1030, "Output",ExpressionUUID->"d7d06b61-0933-4088-93bd-8e0fba5dd977"] +Cell[16378539, 384342, 11273, 236, 1325, "Input",ExpressionUUID->"74a386fd-d786-4f8e-ac35-d0ff9ba081f6"], +Cell[16389815, 384580, 9389, 154, 1030, "Output",ExpressionUUID->"d7d06b61-0933-4088-93bd-8e0fba5dd977"] }, Open ]] }, Closed]], Cell[CellGroupData[{ -Cell[16430991, 385263, 150, 3, 65, "Section",ExpressionUUID->"c824cb5c-4a52-46d1-a35a-851caf0c9277"], -Cell[16431144, 385268, 1419, 28, 301, "Input",ExpressionUUID->"1977eb38-bd98-44a0-b57c-ac589cd31f23"], -Cell[16432566, 385298, 12114, 324, 668, "Input",ExpressionUUID->"0f03b54b-50d6-4328-8dde-389d9edd0376"], -Cell[16444683, 385624, 1300, 31, 37, "Input",ExpressionUUID->"f9acf0a4-3da8-429b-a594-2c21c54c53a9"], +Cell[16399253, 384740, 150, 3, 65, "Section",ExpressionUUID->"c824cb5c-4a52-46d1-a35a-851caf0c9277"], +Cell[16399406, 384745, 1419, 28, 301, "Input",ExpressionUUID->"1977eb38-bd98-44a0-b57c-ac589cd31f23"], +Cell[16400828, 384775, 12114, 324, 668, "Input",ExpressionUUID->"0f03b54b-50d6-4328-8dde-389d9edd0376"], +Cell[16412945, 385101, 1300, 31, 37, "Input",ExpressionUUID->"f9acf0a4-3da8-429b-a594-2c21c54c53a9"], Cell[CellGroupData[{ -Cell[16446008, 385659, 4298, 78, 432, "Input",ExpressionUUID->"c1b071fe-431f-4cdf-b065-c9836c7cb530"], -Cell[16450309, 385739, 102236, 1839, 303, "Output",ExpressionUUID->"c24db48e-2ebb-490a-847c-3a363ebc6a9a"] +Cell[16414270, 385136, 4298, 78, 432, "Input",ExpressionUUID->"c1b071fe-431f-4cdf-b065-c9836c7cb530"], +Cell[16418571, 385216, 102236, 1839, 303, "Output",ExpressionUUID->"c24db48e-2ebb-490a-847c-3a363ebc6a9a"] }, Open ]], Cell[CellGroupData[{ -Cell[16552582, 387583, 2861, 59, 432, "Input",ExpressionUUID->"285de9b9-fad2-431d-b993-6b52467af553"], -Cell[16555446, 387644, 99966, 1795, 337, "Output",ExpressionUUID->"b209fd1f-70c0-44b8-baa1-af339becdef7"] +Cell[16520844, 387060, 2861, 59, 432, "Input",ExpressionUUID->"285de9b9-fad2-431d-b993-6b52467af553"], +Cell[16523708, 387121, 99966, 1795, 337, "Output",ExpressionUUID->"b209fd1f-70c0-44b8-baa1-af339becdef7"] }, Open ]], -Cell[16655427, 389442, 948, 28, 56, "Input",ExpressionUUID->"849f5a6b-f177-4c34-b90a-a4a0f595ff32"], +Cell[16623689, 388919, 948, 28, 56, "Input",ExpressionUUID->"849f5a6b-f177-4c34-b90a-a4a0f595ff32"], Cell[CellGroupData[{ -Cell[16656400, 389474, 2275, 55, 285, "Input",ExpressionUUID->"696ad044-0be9-4ed4-802d-4bc2dd39d586"], -Cell[16658678, 389531, 18090, 337, 293, "Output",ExpressionUUID->"67c34fe1-8f0f-4856-977a-5ae9dee5e12c"] +Cell[16624662, 388951, 2275, 55, 285, "Input",ExpressionUUID->"696ad044-0be9-4ed4-802d-4bc2dd39d586"], +Cell[16626940, 389008, 18090, 337, 293, "Output",ExpressionUUID->"67c34fe1-8f0f-4856-977a-5ae9dee5e12c"] }, Open ]], -Cell[16676783, 389871, 969, 25, 37, "Input",ExpressionUUID->"44fe23db-72cc-451a-a798-d806f5062a38"], +Cell[16645045, 389348, 969, 25, 37, "Input",ExpressionUUID->"44fe23db-72cc-451a-a798-d806f5062a38"], Cell[CellGroupData[{ -Cell[16677777, 389900, 1873, 45, 100, "Input",ExpressionUUID->"9cabf5e4-e77b-4ef2-aa57-94f5f5a8cbcb"], -Cell[16679653, 389947, 937, 18, 42, "Output",ExpressionUUID->"c4d5735f-bbcd-4f79-b8ac-3772f744e604"] +Cell[16646039, 389377, 1873, 45, 100, "Input",ExpressionUUID->"9cabf5e4-e77b-4ef2-aa57-94f5f5a8cbcb"], +Cell[16647915, 389424, 937, 18, 42, "Output",ExpressionUUID->"c4d5735f-bbcd-4f79-b8ac-3772f744e604"] }, Open ]], Cell[CellGroupData[{ -Cell[16680627, 389970, 4172, 93, 626, "Input",ExpressionUUID->"b0fad934-cdc2-48f8-b42d-e1d50d885bea"], -Cell[16684802, 390065, 63385, 1110, 290, "Output",ExpressionUUID->"7f805117-2275-4fc2-af8f-a768f2c22eab"] +Cell[16648889, 389447, 4172, 93, 626, "Input",ExpressionUUID->"b0fad934-cdc2-48f8-b42d-e1d50d885bea"], +Cell[16653064, 389542, 63385, 1110, 290, "Output",ExpressionUUID->"7f805117-2275-4fc2-af8f-a768f2c22eab"] }, Open ]], Cell[CellGroupData[{ -Cell[16748224, 391180, 992, 29, 70, "Input",ExpressionUUID->"0cd8ad00-b6e1-42c2-a5bd-2c4292c8a2c3"], -Cell[16749219, 391211, 498, 14, 42, "Output",ExpressionUUID->"8d821a0a-d7b4-4f2d-85e7-13b1146b12ba"] +Cell[16716486, 390657, 992, 29, 70, "Input",ExpressionUUID->"0cd8ad00-b6e1-42c2-a5bd-2c4292c8a2c3"], +Cell[16717481, 390688, 498, 14, 42, "Output",ExpressionUUID->"8d821a0a-d7b4-4f2d-85e7-13b1146b12ba"] }, Open ]] }, Closed]] }, Open ]] diff --git a/Manuscript/Ew_H2.pdf b/Manuscript/Ew_H2.pdf index dd50d67..e9a3b5f 100644 Binary files a/Manuscript/Ew_H2.pdf and b/Manuscript/Ew_H2.pdf differ diff --git a/Manuscript/FarDFT.tex b/Manuscript/FarDFT.tex index 338c52a..d0836fc 100644 --- a/Manuscript/FarDFT.tex +++ b/Manuscript/FarDFT.tex @@ -1045,6 +1045,9 @@ Nonetheless, it can be nicely described with a Gaussian basis set containing eno Consequently, we consider for this particular example the d-aug-cc-pVQZ basis set which contains two sets of diffuse functions. The excitation energies associated with this double excitation computed with various methods and combinations of xc functionals are gathered in Table \ref{tab:BigTab_He}. +Before analysing the results, we would like to point out that, there is a large number of singly-excited states, between the $1s2s$ state and the $2s^2$. +Therefore, the present ensemble is not consistent with GOK theory. + The parameters of the CC-S weight-dependent exchange functional (computed with the smaller aug-cc-pVTZ basis) are $\alpha = +1.912\,574$, $\beta = +2.715\,267$, and $\gamma = +2.163\,422$ [see Eq.~\eqref{eq:Cxw}], the curvature of the ensemble energy being more pronounced in \ce{He} than in \ce{H2} (blue curve in Fig.~\ref{fig:Cxw}). The results reported in Table \ref{tab:BigTab_He} evidence this strong weight dependence of the excitation energies for HF or LDA exchange. diff --git a/Manuscript/Om_H2.pdf b/Manuscript/Om_H2.pdf index 8422b70..efb8a70 100644 Binary files a/Manuscript/Om_H2.pdf and b/Manuscript/Om_H2.pdf differ