diff --git a/Data/w/H2_aug-cc-pvtz_RCC_H.dat b/Data/w/H2_aug-cc-pvtz_RCC_H.dat index 24a75b4..4177350 100644 --- a/Data/w/H2_aug-cc-pvtz_RCC_H.dat +++ b/Data/w/H2_aug-cc-pvtz_RCC_H.dat @@ -1,23 +1,23 @@ -0 -1.0431147565 0.9878058186 -0.0151515 -1.0228608674 0.9790772163 -0.030303 -1.0025530322 0.9818170827 -0.0454545 -0.9820929994 0.9864844270 -0.0606061 -0.9614519477 0.9918415908 -0.0757576 -0.9406195040 0.9973963907 -0.0909091 -0.9195931100 1.0029143619 -0.106061 -0.8983741661 1.0082595107 -0.121212 -0.8769688900 1.0133635096 -0.136364 -0.8553802564 1.0181974572 -0.151515 -0.8336173242 1.0227552610 -0.166667 -0.8116835190 1.0270470337 -0.181818 -0.7895878359 1.0310905343 -0.19697 -0.7673330936 1.0349092278 -0.212121 -0.7449275152 1.0385272809 -0.227273 -0.7223729504 1.0419696885 -0.242424 -0.6996767092 1.0452392790 -0.257576 -0.6768397002 1.0484170845 -0.272727 -0.6538684309 1.0514615840 -0.287879 -0.6307630327 1.0544087359 -0.30303 -0.6075294337 1.0572709493 -0.318182 -0.5841672232 1.0600584867 -0.333333 -0.5606819804 1.0627779117 +0 -1.0431147565 0.9878058186 +0.0151515 -1.0227945426 0.9800469441 +0.030303 -1.0024006596 0.9832554102 +0.0454545 -0.9818365910 0.9883792456 +0.0606061 -0.9610714730 0.9942521886 +0.0757576 -0.9400919300 1.0003987821 +0.0909091 -0.9188921275 1.0065763848 +0.106061 -0.8974702567 1.0126467405 +0.121212 -0.8758296132 1.0185299490 +0.136364 -0.8539705539 1.0241847003 +0.151515 -0.8318999611 1.0295932993 +0.166667 -0.8096193567 1.0347566282 +0.181818 -0.7871362165 1.0396856112 +0.19697 -0.7644519769 1.0443997311 +0.212121 -0.7415737568 1.0489212738 +0.227273 -0.7185022941 1.0532755131 +0.242424 -0.6952439831 1.0574866706 +0.257576 -0.6717986843 1.0615790894 +0.272727 -0.6481720183 1.0655742513 +0.287879 -0.6243630175 1.0694924407 +0.30303 -0.6003766399 1.0733323584 +0.318182 -0.5762112519 1.0771736622 +0.333333 -0.5518713333 1.0809411022 diff --git a/Data/w/H2_aug-cc-pvtz_RCC_RMFL20.dat b/Data/w/H2_aug-cc-pvtz_RCC_RMFL20.dat index 224d803..f3f73be 100644 --- a/Data/w/H2_aug-cc-pvtz_RCC_RMFL20.dat +++ b/Data/w/H2_aug-cc-pvtz_RCC_RMFL20.dat @@ -1,23 +1,23 @@ -0 -1.1369038731 1.0621786440 -0.0151515 -1.1152768488 1.0470278620 -0.030303 -1.0936995596 1.0457550359 -0.0454545 -1.0720491534 1.0470099541 -0.0606061 -1.0502875093 1.0492703088 -0.0757576 -1.0283989803 1.0519427316 -0.0909091 -1.0063771906 1.0547411017 -0.106061 -0.9842202662 1.0575175170 -0.121212 -0.9619314557 1.0601960267 -0.136364 -0.9395106643 1.0627419891 -0.151515 -0.9169641795 1.0651437463 -0.166667 -0.8942925500 1.0674039591 -0.181818 -0.8715022404 1.0695322523 -0.19697 -0.8485935253 1.0715426256 -0.212121 -0.8255724557 1.0734499523 -0.227273 -0.8024387433 1.0752695618 -0.242424 -0.7791979206 1.0770153002 -0.257576 -0.7558491504 1.0786999203 -0.272727 -0.7323975309 1.0803338276 -0.287879 -0.7088418077 1.0819342298 -0.30303 -0.6851868005 1.0834817608 -0.318182 -0.6614310125 1.0850060186 -0.333333 -0.6375791683 1.0864999229 +0 -1.1369038731 1.0621786440 +0.0151515 -1.1151319633 1.0481428135 +0.030303 -1.0933879772 1.0476367833 +0.0454545 -1.0715445259 1.0496050227 +0.0606061 -1.0495605491 1.0525938037 +0.0757576 -1.0274174823 1.0560294447 +0.0909091 -1.0051062037 1.0596245292 +0.106061 -0.9826223849 1.0632254033 +0.121212 -0.9599672064 1.0667485389 +0.136364 -0.9371387656 1.0701526038 +0.151515 -0.9141419352 1.0734208312 +0.166667 -0.8909759689 1.0765531011 +0.181818 -0.8676463326 1.0795580051 +0.19697 -0.8441522806 1.0824504037 +0.212121 -0.8204990592 1.0852471016 +0.227273 -0.7966854413 1.0879665585 +0.242424 -0.7727161908 1.0906261912 +0.257576 -0.7485894891 1.0932439807 +0.272727 -0.7243096073 1.0958554020 +0.287879 -0.6998741950 1.0984200671 +0.30303 -0.6752871352 1.1009761368 +0.318182 -0.6505456817 1.1035386907 +0.333333 -0.6256534909 1.1061416109 diff --git a/Data/w/H2_aug-cc-pvtz_RCC_RVWN5.dat b/Data/w/H2_aug-cc-pvtz_RCC_RVWN5.dat index c894ed1..901d18b 100644 --- a/Data/w/H2_aug-cc-pvtz_RCC_RVWN5.dat +++ b/Data/w/H2_aug-cc-pvtz_RCC_RVWN5.dat @@ -1,23 +1,23 @@ -0 -1.1369038731 1.0531383495 -0.0151515 -1.1152768271 1.0382091382 -0.030303 -1.0936993226 1.0371551945 -0.0454545 -1.0720482884 1.0386202160 -0.0606061 -1.0502854033 1.0410809589 -0.0757576 -1.0283948407 1.0439438044 -0.0909091 -1.0063700666 1.0469226577 -0.106061 -0.9842090684 1.0498698133 -0.121212 -0.9619149750 1.0527096113 -0.136364 -0.9394875864 1.0554078068 -0.151515 -0.9169331015 1.0579531346 -0.166667 -0.8942519907 1.0603486948 -0.181818 -0.8714506538 1.0626045015 -0.19697 -0.8485293081 1.0647349511 -0.212121 -0.8254939598 1.0667552457 -0.227273 -0.8023442801 1.0686810338 -0.242424 -0.7790857732 1.0705264114 -0.257576 -0.7557175767 1.0723043679 -0.272727 -0.7322447750 1.0740254814 -0.287879 -0.7086661018 1.0756986425 -0.30303 -0.6849863772 1.0773300233 -0.318182 -0.6612041051 1.0789238836 -0.333333 -0.6373240237 1.0804816679 +0 -1.1369038731 1.0531383495 +0.0151515 -1.1151319400 1.0393400097 +0.030303 -1.0933877249 1.0390705669 +0.0454545 -1.0715436014 1.0412690256 +0.0606061 -1.0495582843 1.0444807166 +0.0757576 -1.0274130001 1.0481316159 +0.0909091 -1.0050984351 1.0519340875 +0.106061 -0.9826100853 1.0557344302 +0.121212 -0.9599489724 1.0594491629 +0.136364 -0.9371130476 1.0630371368 +0.151515 -0.9141070526 1.0664817927 +0.166667 -0.8909301188 1.0697833136 +0.181818 -0.8675876027 1.0729505740 +0.19697 -0.8440786549 1.0759987681 +0.212121 -0.8204084295 1.0789449846 +0.227273 -0.7965756101 1.0818079903 +0.242424 -0.7725848821 1.0846054462 +0.257576 -0.7484343495 1.0873556034 +0.272727 -0.7241282168 1.0900704725 +0.287879 -0.6996640662 1.0927666150 +0.30303 -0.6750457252 1.0954538226 +0.318182 -0.6502703895 1.0981285680 +0.333333 -0.6253416706 1.1008388799 diff --git a/Data/w/H2_aug-cc-pvtz_RS51_H.dat b/Data/w/H2_aug-cc-pvtz_RS51_H.dat index 0472a4a..0b1ad57 100644 --- a/Data/w/H2_aug-cc-pvtz_RS51_H.dat +++ b/Data/w/H2_aug-cc-pvtz_RS51_H.dat @@ -1,23 +1,23 @@ -0 -1.0431147565 0.7155567710 -0.0151515 -1.0268859345 0.7183182711 -0.030303 -1.0104047728 0.7325463751 -0.0454545 -0.9935746254 0.7486932429 -0.0606061 -0.9763688634 0.7654718695 -0.0757576 -0.9587801109 0.7823363345 -0.0909091 -0.9408096736 0.7990165147 -0.106061 -0.9224634746 0.8153407494 -0.121212 -0.9037523366 0.8312175931 -0.136364 -0.8846846157 0.8466060240 -0.151515 -0.8652741408 0.8614914617 -0.166667 -0.8455297130 0.8758737311 -0.181818 -0.8254650169 0.8897887064 -0.19697 -0.8050880035 0.9032512103 -0.212121 -0.7844113993 0.9162860179 -0.227273 -0.7634419305 0.9289103403 -0.242424 -0.7421912486 0.9411575493 -0.257576 -0.7206649176 0.9530481585 -0.272727 -0.6988736798 0.9645997416 -0.287879 -0.6768221551 0.9758302401 -0.30303 -0.6545204071 0.9867518683 -0.318182 -0.6319723640 0.9973771187 -0.333333 -0.6091876517 1.0077130827 +0 -1.0431147565 0.7155567710 +0.0151515 -1.0268176901 0.7194238215 +0.030303 -1.0102441008 0.7343024367 +0.0454545 -0.9932976699 0.7511410327 +0.0606061 -0.9759481736 0.7687291421 +0.0757576 -0.9581836965 0.7865330002 +0.0909091 -0.9400008523 0.8042596044 +0.106061 -0.9214011339 0.8217280565 +0.121212 -0.9023914655 0.8388243923 +0.136364 -0.8829768409 0.8554850243 +0.151515 -0.8631684105 0.8716749683 +0.166667 -0.8429727406 0.8873865101 +0.181818 -0.8224018437 0.9026230112 +0.19697 -0.8014622365 0.9174013850 +0.212121 -0.7801656257 0.9317397602 +0.227273 -0.7585177626 0.9456622709 +0.242424 -0.7365296484 0.9591894574 +0.257576 -0.7142061079 0.9723443458 +0.272727 -0.6915574228 0.9851445428 +0.287879 -0.6685875863 0.9976087792 +0.30303 -0.6453063081 1.0097499548 +0.318182 -0.6217169399 1.0215749062 +0.333333 -0.5978288207 1.0331268462 diff --git a/Data/w/H2_aug-cc-pvtz_RS51_RVWN5.dat b/Data/w/H2_aug-cc-pvtz_RS51_RVWN5.dat index 31c43a2..8b522ad 100644 --- a/Data/w/H2_aug-cc-pvtz_RS51_RVWN5.dat +++ b/Data/w/H2_aug-cc-pvtz_RS51_RVWN5.dat @@ -1,23 +1,23 @@ 0 -1.1369038731 0.7770528095 -0.0151515 -1.1193609803 0.7735278027 -0.030303 -1.1016706935 0.7838799200 -0.0454545 -1.0837122176 0.7967022442 -0.0606061 -1.0654502335 0.8104296704 -0.0757576 -1.0468724525 0.8244337982 -0.0909091 -1.0279763830 0.8384033469 -0.106061 -1.0087643609 0.8521742259 -0.121212 -0.9892436526 0.8656596955 -0.136364 -0.9694187978 0.8788209452 -0.151515 -0.9493000016 0.8916418406 -0.166667 -0.9288923410 0.9041244740 -0.181818 -0.9082061332 0.9162755194 -0.19697 -0.8872460339 0.9281086468 -0.212121 -0.8660219036 0.9396355898 -0.227273 -0.8445377325 0.9508709439 -0.242424 -0.8228028610 0.9618252433 -0.257576 -0.8008206677 0.9725104588 -0.272727 -0.7786000807 0.9829340233 -0.287879 -0.7561440128 0.9931042869 -0.30303 -0.7334611341 1.0030251900 -0.318182 -0.7105540583 1.0127014468 -0.333333 -0.6874313590 1.0221334111 +0.0151515 -1.1192128320 0.7748773584 +0.030303 -1.1013457461 0.7862751167 +0.0454545 -1.0831758237 0.8001485230 +0.0606061 -1.0646631503 0.8149994632 +0.0757576 -1.0457909632 0.8302118296 +0.0909091 -1.0265526732 0.8454608348 +0.106061 -1.0069470589 0.8605650970 +0.121212 -0.9869784763 0.8754195956 +0.136364 -0.9666490286 0.8899697132 +0.151515 -0.9459670993 0.9041864890 +0.166667 -0.9249362039 0.9180629504 +0.181818 -0.9035655696 0.9315992673 +0.19697 -0.8818588295 0.9448052609 +0.212121 -0.8598251905 0.9576901250 +0.227273 -0.8374679186 0.9702674288 +0.242424 -0.8147959523 0.9825507443 +0.257576 -0.7918121049 0.9945416221 +0.272727 -0.7685250531 1.0062575904 +0.287879 -0.7449372310 1.0177050842 +0.30303 -0.7210571560 1.0288880747 +0.318182 -0.6968870119 1.0398121048 +0.333333 -0.6724352919 1.0504780200 diff --git a/FarDFT.nb b/FarDFT.nb index 80e2460..c0fdf9b 100644 --- a/FarDFT.nb +++ b/FarDFT.nb @@ -10,10 +10,10 @@ NotebookFileLineBreakTest NotebookFileLineBreakTest NotebookDataPosition[ 158, 7] -NotebookDataLength[ 16777609, 391583] -NotebookOptionsPosition[ 16718019, 390707] -NotebookOutlinePosition[ 16718443, 390724] -CellTagsIndexPosition[ 16718400, 390721] +NotebookDataLength[ 16777855, 391586] +NotebookOptionsPosition[ 16718265, 390710] +NotebookOutlinePosition[ 16718689, 390727] +CellTagsIndexPosition[ 16718646, 390724] WindowFrame->Normal*) (* Beginning of Notebook Content *) @@ -364472,7 +364472,7 @@ Cell[BoxData[{ 3.7953203692132607`*^9, 3.795320458538566*^9}, {3.797076656848433*^9, 3.797076660939084*^9}}, CellLabel-> - "In[120]:=",ExpressionUUID->"0527fb6c-d312-49f0-a800-b985047134b5"], + "In[215]:=",ExpressionUUID->"0527fb6c-d312-49f0-a800-b985047134b5"], Cell[BoxData[ RowBox[{ @@ -364515,7 +364515,7 @@ Cell[BoxData[ 3.797151581747882*^9}, {3.797155241790772*^9, 3.797155281125733*^9}, { 3.797155855294799*^9, 3.7971558554213467`*^9}}, CellLabel-> - "In[123]:=",ExpressionUUID->"3053fc54-a711-42db-bdb9-fe3d0985162c"], + "In[218]:=",ExpressionUUID->"3053fc54-a711-42db-bdb9-fe3d0985162c"], Cell[BoxData[{ RowBox[{ @@ -364844,7 +364844,7 @@ Cell[BoxData[{ 3.797497549673986*^9, 3.797497553024785*^9}, {3.797499077366261*^9, 3.797499077466276*^9}, {3.797504647375399*^9, 3.797504665233242*^9}}, CellLabel-> - "In[312]:=",ExpressionUUID->"f9315378-c650-4b01-adc0-3a8dfaf073d2"], + "In[302]:=",ExpressionUUID->"f9315378-c650-4b01-adc0-3a8dfaf073d2"], Cell[CellGroupData[{ @@ -364943,7 +364943,7 @@ Cell[BoxData[{ 3.797496518238933*^9, 3.797496533084507*^9}, {3.797504684302253*^9, 3.797504735910864*^9}}, CellLabel-> - "In[343]:=",ExpressionUUID->"abd4eab1-6449-43ab-964f-c00dcb6b555b"], + "In[327]:=",ExpressionUUID->"abd4eab1-6449-43ab-964f-c00dcb6b555b"], Cell[BoxData[ TemplateBox[{ @@ -364955,201 +364955,202 @@ Cell[BoxData[ AbsoluteThickness[1.6], Thickness[0.01]], LineBox[CompressedData[" -1:eJxVWnk4lWHTJyJSSkpUshQtFJGtMlmSIrIVKRFZouxk3/ftLFJJyhKyr2Uf -+76cY60UIURIUiHynff73n++88dzrt819/PMPTO/mbnnuR7+O7badzfR0dGd -o13+8///fwsV7wq2MrmekQa+410ep9N2o5SLSWcVlyoo3yzrd3MUwk7rMFuG -t9owZ1WREm0njnH/2G6qUQxAqjombNN2GewrO3BtKMsYjmQZJOzlALx+lzU/ -Oc0M5J6wPbCUV8Kvfh0VHu8sQUDYInW38EUMZDdzM1e4D6r+Z3/sUlJD3hBL -p4IFO6h8HalrStLEtWqWIJmbjlDQdXzKj1UbLfIePVAdd4bsXI0897u6qK/P -Jj4a9BCal3I37f9wDVvL7ndsUvaA1Zfqo3ctDdCe00kgcps3yIbWuzvR3UTv -PhvWE1a+YOOT98+UZIQVZ7q1ZFv9QFMpVIonxhgnwGRqSigAhjV+ji1STZBp -VKBd1j8QrMeeMFbuNEXug5zrJ4aCYHH94TNnDTPkWZX0ahYPAfskcvrB0Lu4 -0yFIjSE4FOY7vh4przbH5eDNlmODYWDq+/DghUULpMqU9JgJR0BvhWJEjYAV -JoTERoQ7RcJZn4s2IlfvoZFjCkkHoyC1Lbgu1N0aWddGp5A5BugS6aL7k2yw -f0dG45QQAfRXirs4mu4j36KLfG0LAXI/pgSen3qAk0m3jGysiECXOnk9b5Md -8vHdPDy9hQQpz/dmSBHscMDGgSydTgLloalTUvvtkSn0ZcZ1ZTJM3tRdzkmz -x1z7SbNLn8ngL2C0/PykA/YcVW5h84gFXpHNEkxvHdAyv6wnifMRFLpdypg8 -64iemy8Gbsl5BBe3HteHWkdkPTr78bxyHHz4/Fpxh7ITbtv3+uPlD3Fgvtpo -YdDohJFDXgFCto9h8bpPM88FZ2ypcZsLZHgCJfwjRdP1zsjBny3F9eQJLPjM -exsquuDtNc7bn0SewhOHnCN2NS74RrvYfLD2KZSu7CsQlndF9kPhVzZfj4cL -u+X2+le4ootd3C6L2XhQb2Q28pF5iMPS70vX/J5BDUuQ/8GSh6jrrn2uiSsB -ssYKgu6Iu2GH9Kak+pwEYL4abaGa44Zadl/Hl5Sew3stniPUI+74TnAz040P -z4Hnq17zWoo7WmvrM/+0S4QeDoUL7bweyPK/8XkBdD1DSWefemAGX3J6TeIL -SDgs9OnqLk88YqyessrzEnK28f1hjvJE37k7Y7nmL+FkeOt3EyYvvFnKudOr -8CUceSbQbOTjheU1Jifv/nsJ0eePe/3744WJTJfPWVxOggfu4zsV7LyRMbxT -LiAuCSpV1YKEvnrjwuVZ4bKxJPDNujOYe9sHjS+lMbKcTIbS5KNbPgz44NWg -vxQHj2QQ46Js7RPyxdZ/3yJ/NyeDgvC4P9sdX6wrcZWJ5UyBEHoNqz3PfVEh -M7FXzSQFWNN24I9BX9Qj/hXdnpsCuNKtEs3hh3v5jnJ1rKZA0qhY/ZC6H4Zd -E44JupgKBbckJD8E+2Gq5O9HcrGpMHt/8GlYjR/alj86/u1zKlzjOLA0veKH -Y1Obrz4WfQWzlxmV/p7yR+YqFQZwfwUFXFHBVdb+OCCrf2Gs6RUkP3xbLZbq -jzpGcnv8dqVB7f2Ab7of/dHj2JzzPuM0YPuzwHaMMwC1Eu3MCrLTIJCTTjBf -LYBWfxpGFVfS4HhTvuiUfwAye03PdV9IBzo2BtHusgD8PDUcqk9Kh42J33yW -CwF4bzW18ONwOojoRrIUCgViYvEZ65vHMyDwWv1k9s1A9N+TUjjomgFsc89K -9UmBuINvKFSjIQNqufb4lDQHojp1fK5mx2tIHhCXq18LRMljVaMnbr2G/KPf -p/3Eg7D1mIXZk9evYXaHRvSPu0HI2jvqvP7rNehGaghzxAfhqoDoHiPFTJh5 -tlA83hmEcTyXLpRHZ0IuSEqb0wfjcNlpBo6hTEh05c59KhmMvXQ/Ne8KZ0Gl -0ktub4tg1JKZb3jjmAUoYXZ6d3wwSr+O/bulJgvMB+mqjDuC0V9n8qchWzZk -n3DJN/4XjJLS4zl5+tkQK9vAvlssBNV0I44yvsqG/cszH7xMQrApc9jc4Ec2 -KN2b2fmMFIKZcp/u5p3LAXZCXbFNfQguMoQIMYXngJuVY/3izxBMYvqYcWsg -B4J+r50TPRSKJYofZ0sEckFc+vah/bqheKo0ZHG7bS74HI93wYBQ3GMxXGZZ -kQsPenMkuYpC8Y7mZ8V65jygOxlvJDgWitvto6J4dfNAXP720viOMBTsmHjq -/jIPNtGv/9KHMEwwnrYYnM0DR1dHU//7YSjP1eERvz8fgpLqzho/C8PPPG6p -rYr5IOsz47fYEobSFX9FVyzzIWbHt1Oyv8NQYVln79GYfAjWa9CUFAzHNaqf -qUFJPuzXcHk3rhmONqpB7OFD+aC7Std50TMcowyN9lfQF8CxG2aixhnhaLZ1 -W/iscAGk3X+5ItIXjt+uE/V5NQoAJUvEczfCUVjhW8hVpwLwyEvuHz8Wge63 -F4b94wtgOJac3akXgapqLodKagpg6Vmhl7VvBMYyeulPTRZAecOGalVmBBpE -MHpwbysEMXaP7fV9EZg4whapJlEI1z0OdXn+i0Aj+rgIL4NCEGdZC5kRjsT4 -hRdueT6FUFFKf5ZNKxK1co5dG31VCL/DTs+MukViiLQU/66OQpjwfEyyTo5E -qZi6D8qLheBHFD79ui0S7xR3+7vsLYLu5glq/GIksqbf4s6QL4LOgz2W53mi -8JSFTeJ7syLweTq78lQhCnuX/u7cGlEE45Kng9Ito3BBk83pbEERLP3IYrWK -icLwh88b7g8WQSnlavhwSRQmPshjeLFeBMepgoxbPkah2ClFcYpgMWj9PPRw -gj4az9brXaG/XAxC0rqTLsLR2Mw/c/2UXTHkJhRoVKpHY8eVjaumccUwIXiu -oMQ+GjUuPpKJrSyGro7f20zjolF9e/b2xrFisHo8bNZQHo1NyUq9v7aUQHHA -Usn74WisZLwZLHSyBPKIMvQpm2JQTPKX8HW9EjCqzVThE4pBEnm0IcSjBJjT -nytpX4pBg6CfVqVJJbA2YFoiaxODASzHuaabS0BOa/3ZQHQMcvKFdnDPl0D9 -7nt/jhTEIEsra/hlzjcQdyizWaw3Bm8zFml6yL2BAq8qtvmlGNzf43sg2/gN -RO7QNhXbSsBzp5x+fgx+A6bMee4N+whYfSiKui3nDRinOKtLiBAw/XX7W/ne -N+D35dU7z7MEXKo5mWa78gZa2uBgkjoBX90rS3h58C1IXlPie3mTgIVpls+o -F95CbXD+kJsNAY85n0veZPMWnG+GaJ3wJCDTgHSBBOktaA42+1VFEPBi6/UW -s9K3oPHH3kb4GQG/aTyfejT8Fuwrg3baZBLwl/kW9mbGUigXYrCPKCOg6c6n -8svHSuGI1Ex4UAsBT2touhzVosln5G7fGCTgvQPH39xwLQV75V9zLJME3OQj -8i/ieSlcUeKWjl0iIJ2jjkZVfSloTKXJrWwiosnKi7T56VJwEktcltlJRCEO -Dma+HWVQzbthp3OQiNpVr221pMpArLA1RVWUiGUPOwf8b5aB8HlvRu4zRJSu -Gz9V7F8GbLzPmRpViUh5OhswkVEGI2pCmerXiOi3MdW6p7sMElu5v+eZElH1 -Zz+j6q8yuPzYtX/ejkjzzxtJt33l8KUYbrF4E1EwKMwgU6EcrAUcgjfCiXhS -UNNxyKIchke2a1EfE/GmJrM/W3Q5wNzuKu9UIiayFAadKy6HyMshbcwFRFzW -0vR+8KEcWv/ccbOpIqLlkRHrF3QVsLCU0ZrdSsTxSBMNilAF0CkaVLT0EzHY -Z+Aw/ZUK2DTiqFEzSkSgk18Ud6yAH+3L/uQ5Iu5gf1J052kFdGz5qq+4QsR/ -WV+syFgBcY8UKB2MJPw3ILi7YaIC1Bw2T5/aQcK9BL2Spa2VMJ8okeK0j4Tq -g26XD5+qBJ99Hf+IQiSMyyb06elXwt+prr/h4iRcZo/XCfauBDPGs/G3z5LQ -mT6u+U1qJVTa7frAfpGEzH6BYlNtlUAnqlfxTIuEBdF3o7l+VIKYLL08w00S -uhyTHb3IVQWaj7juqJiTMEmqUvLhuSpQCdldfNeOhP6PsmIzTKuAXu9hjYk7 -CbkvMtO/D6sC738QIhdIQgu1bjeW/CqoDbDZshBFQvNkhg3ZgSpo/LKu5P+Y -hPzqqYR7a1VA5F+S/fGShETVghPPBKqBV05z5mwmCUseH3vfrloNdifY9e4W -kZAgu4Ow9qAagv9JuN+rJKHAiTs6oo+qwTizykC9kYRWznyCRhXV8E88+ydr -FwnN2NU2okerwejRxsW0AdJ/z9cIvn1vr/GOkNDpzMTHBVGEe9/7DjpO0fR3 -6g7z6yLsmbyWkvqdtt9CsVltd4SQ0nOjhX9IuDj9kCnwJUKFedj75xsklHQS -FS1pQihZkI28y0zGY1oaxpOzCPbXNFdY2Ml4v2auO4KlBhYft/JF7CFjot+M -c+r+GpAuydg0fYC23uaAQNXJGpDPnU0UPExGDt/gvn7FGmANePRLToSMelWH -o+b1auCZRArTSQkyLgn+VWO2qoEF3N2zIUvG2SKmnXyeNcB8ZO56znkynpRm -GJCJqYHfls+EZC6SMcc1K1YruQaoPqSOl1fIeMd5Q/1eSQ3E2vRcmtIho67Y -xj//lhq4cNIwcesNMvpmZGY+G6qBz80ibduMyfjlE/3V4vkaMJe62Dl3l4wB -FMbvHfS1MOiemZ5lTcabvkUhE5y1IPHomsFFe5q9c9t4/gnXgluQ2liNC43J -XJxpe87UQrZamOJeTzKe32g8flKjFlqm2N2v+JGRLlUw66JJLXQZjIQaB5Px -52bRQ8ZOtYBJfx5oRZDxyOGxuIchtZCA+iJ8BDI+oldiIMbXwt2iTbUdsWQ8 -90zT6nVOLez1+iVy/SkZeReZW2trauHNfnE7fE5GWSYbgQ+9tQCkkvDNyWSM -GfRwXpyshcJP/p5H02jrzaXrWFdrgWPjyYXjmWT8WpC4RXBbHdz8/WeCNZeM -38oLVM/w1QGh/sWtlgIyCvvZ+etI1EG+OSHbtIQWT8ahEmuVOigfae35WEpG -zQs/xgIMaPIT6hSJSjLKKRWxJNjQ7tfhemWJZDzV7ypW7FMH6o/4xz3qyLi1 -59GtDlIdDMqZ7H/QSMYm2W3EL6/q4ITywFVoIeNdtuG2tdI60Cnw9f3eRsax -S1u27u6ogwv+xlnenWRUXIzQEh2pA6a3ztTZbjIGbrZKvLBYB7GaNYuyPWRM -D3jx49bmephXO89u3kfGLJuTai5762FP9i8h+wEykmr2ZkUfrwc2u8+yhu/I -eMPHcGe6fD1QH9OpHvpARobXtKFEqx5MBK9pdQyRMeTc3MKgWT3UcIzq6Xwi -4+LZs/cWXOth9m6SXtkwGSH9y/SWiHqY2P/46r8RMtp6TtryJ9ZDtnSdisAo -jV+VCmuyBfVw/o2AzOExMjpY/I7WbqiHlCelh5jHyajixXjEerAeeoYD2Fpo -+O+6dXPATD10hwZ+t/hCRvK0yP2E9Xp4GlfeOUnDW89f5C7Z0QDiW4TSlSfI -aEZf0d4p2ACPPzV5+NHwS9HQwEmpBmjb81ztOQ1XN+QqbVyi4aKMPU9ouLLp -BMveWw3wpHj6oz0N897K7RKza4CnFP/jx//zvILeqEsBDSCCcTENNH2E+kSV -O3ENcM33MIs8DSeT2VfcXzfAbs6TTx7T9hvHL/SKXNkAlu5FZ6k0+xzvj13O -7m4A7aLC3zM0+yVclWcaxhqgu1qk6ctnMg7Kqwd8+tUA4wn8WdU0f5k2rOz+ -vaURIq4QXz2k+ZPCfCV5+/5GaKF4lHDQ/C3EonJE+GQjxAuNfoikxcOo5Us6 -KDbCJs3a3VPvafouHOXX12uEjUv7zflp8XTx3RVrZ9kIJK7F9jO0eN92S6EL -82iEsreKF6VofBARe2+eFN0ITse2v9tB48tIanFTWVIjNNnqerfT+OQyeJqv -p7gRckJ2y5nT+LbYfNNxprkRjjpobR2l8VHH5WjNpqFGkDm5ZfEMja9Px54x -7ZtvhJFq2XkXGp8b2IpVJOibgId/coNI43v/kr2vGmcTzOhtE46i5UNbfH+R -qXATaN7KM7Wk5Uvqv+HPHnJNcFm6o0SwjOZ/vmjm2CtN8H7c9ADS8q1G4tyJ -bOMmKGc5xHemkIxuNiqGDY5NkKFZrvSElq+hba+jPwY3QVqJUOgALZ/nr1i0 -LD1tgvwzVnO/aPme89t7y7acJmgZ9XP8TasHTQ0LGodrmmAh3Y7nXSIZJUsr -n53rbQLB6NNj8fE0/lHG5vUmm8AsltImH0fG/eymqg9WmqCkXKGvnkjGWIdz -GcFszcBBH/bvaBQZzf/ab39xsBm8LdIu2YeSMfo1ncfbU83wZ+5pydMA8n/n -02bwjTVVTPGm5bOziMVX/WbgNGRYiHIj467Y1kk6m2Z4q+BWbeBEqy+DNTbc -Ps1gpdqYw2RLRp/zHCvipGYQtp2qiv3PSaGrKuLyq2ZYKhmZZzAjo4Z//SHT -0mbo3J97XteIjDKGgg0e7c3wJkW7KEifjJ4Gn6xih5sh+3KnyhNtMnJ7/did -86MZ8nby/o1QJyNb0+2WRsYWqFtWphirkNFA8ojfMFcLjG4oNO2h9Zf15svn -/xxrAXbh3Z9yaP1n1bd58w75Frj0oJpTiNafPv5Vbj+i1QLeL5bnfWj962S/ -VbiCWQs8DfSeq6D1tyU2KaUbrjR84OqOD7y0+p7y+pdDeAv43rt//QMXGamP -G5IinreAuvVAXeUOMs7MBF9MzW+B9YMR2n4stHqRsDBZWd8Cj0IjmI5sIqNd -Nr1v/0ALcL4aHM5fJeFHnnKO+ekWcHG2Hdr3k4TlU9wvmNZboHpFe83yGwm3 -7OI/fHBHK8yL+ys8GSdha0J3qrRgK9Dzrme+HiLhr8DDvFelWoGuul7meS8J -49sFiJaXWmFk58CMQzsJ8+2a131vtsIrbsnaY/UkPO20y+ypbStc7f9U0VBO -QpEBpoYC/1YYVe79cL6QhE/ikg60PWoFfUtO3hevSehQMm43ltEKxZAUNEI7 -71Sd6qxarWiFP21uOzc9IaH7diPGXd2twMeaWMsUQ8JM1Tjl42OtcGJ9W9xc -EAkvjTp7K/2iyVNbo994kvDmu7UCwy1tsPqPkmXiSMJh0eMjjvvaoHzbobl5 -KxJSR+mYIk+0wS1KnbaRMQk79vaIpCq0gXJsjlb+NRIa/lk0qNRtg4a0L0YT -6iT09TKN6rNogxFmS/d1RRIqZAk2z7q3waO30qnLMjR7fCWYN0e3wVSJzseB -EyQMXCddOZDUBn2bKgWeHKL5V0Ax/nRxG9xItHM9y0NCwfnzc1ea28Ar1P5D -PTsJ35nEqJh/aAO52mq1E5tJeMhLNM17rg2IqvrtHqtEZDq/j+0xXTsE88ob -ZH8nok+m/sO8Xe3AoWT3C78QMbRyYqZZqJ3WP+ZfvHlPRHGXOtPPsu3A8LBM -n9BFRIfB+bFl9Xa4E0o5eKWeiCqf71nuNG4HoymJX7NviVhIkFo66tgOP2PH -39lmE7H0q1awYnA7CBJH2vpfEvHa10pew6ftMDck3M77iIiRMa5VjtntoONc -+f5yGBENhv3uRGI76N56/tvAi4jlfUPbXvW0wwK5jV/dnohvHIKwaqIdhLiV -Dfnv0vSXersOLLfDyixLynt9Itq9aj79fWsH3GXfv+KkTkQxOeNV5oMdYOPr -fusnEPHnKgfyneoA4+/9ynoSRDxok+kle6EDYraOCD4TImJJlJCUtn4HrPQ9 -Wm3gpuHbIV/vWXdAktpqSw8bEfnHOmIDvDsgwJYtpmGDgMucf+QSiDT5+Q71 -+EUCnlnePFSc2gGr1bKbdCcIOBGx4tT5tgOiZ3TzftDmu7Vu6pbJNpr++gO6 -jm0E9GyIjvv3qQPuX4pdeFdJQEsrMV6uHx3w1rM0UCCPgFXVb16cZOyEM7fC -d1xNIqBLA/8+Va5OoPvGEmtCJmCyuz3B+FgnrB2WZr8eREC50VcbD891guR2 -Dn8x1//sp9qSeLUT0lPiZ+csafMuVra/Nu2E65MUjZgbBHSXfnGkzqUTFAeK -Mzhp83DpbXOfD2GdYO2osup1joBmMhyUxYRO6KoKUmo/QUCP2mSerfmdYFPi -ELh2kICrq1y3Bes7QeXGtqqdOwn45Yv98zMDnXArW2+OdRMBz/rk9+tMd4LR -9cfHKBMxuNray2yz1gnu+cNW2s0xeKhxSCKQvQvYzPyeJGTEoMpptdsJAl1w -NLAhIC8sBr8Op8YUn+6CLwJzyxH3YpCl7mtDh2oXnD//94+4WgxmD+/Z+GLY -BWc/zvsmHY/BHsmT8usPuqDvdzP5w9YYdG4Q99/tT3s+IVjs07dofBbF2y76 -qAvG0o9df90ejQqEX3tVMrrgunwxM2RF472ON9ZGFV1wT0dQMTE8GjkU79S7 -dHWBwJQrU7NVNMr9+HMwZrQL7FcKdMtUo/HLe1e/9KUuMA2nijgLR//3/XU3 -0MUPRK9ujsaS61I673i6QeRIrfulL1E4PutUvyDaDcuSxAWTuigkVTyXYVHo -BrMalQWll1FYU59fyK/bDTZto27fvaLQfnOuuJxFN+zWuRNlZhiFSb6PSrTd -u8HoRsuxFJko1D1tIW8d1Q1Kn3dpZ+2OwlBBwY6Al93Q8kWZwWsxEmXVWo0S -irphwcJQfm93JD7INvhd3NQN+VbXGbyyIvHwxT5S5/tu2DEjo50VEon6+2Ql -J2dpeHrjWIppJO44Gvrh30Y35JpnR5lBJAYKHamLYKGA/f7ucws8kbgivmPv -3l0U+Fy//E/ldwR+k1p7kLqfAr9vHqVaUiPQ4PRsk5gQBd5MGRfrZUegoujn -g1UnKXDI7EXGtpAIfM37zu2SLAXker7kEEwiMJyljzafUWBZXLxh+EwETs/1 -it1Rp4BxQOC3P7sjsK59kDavUcC1aVjw4/dw3Jsy8s39NgWk/sL9iNZwnHH8 -dpnZigIJ/BnNm1PCUUr+bybZgQIlslwSap7huEbPzsbnSQFfpag8Q71wVECh -B9lBFJiUZz0nfSIcGVwUqTIxFPh7PHroExNNLnTndOMT2v5ZuSM0R8JwtTso -XiuZAtxDmWqRb8PwpGMO3XAWBUSeKx2IjQnDUfb3FvdKKDBxdXzD3CIMt6dt -ofyupoDq77CfjBCGpafPyga0UOBGlPTy/T1h+LHaMYW9hwIce2a3Jc+FordC -3vaEIQo4EdIlkxpCMb5q3v3IBAVC1qxsrJ+F4imJU1+L5ylw9oZECZ1DKF5K -drumsEyB568Z2E1UQ/EEZ3J5Jz0VPBwnoqJ4Q1GaFMpyYysV8s+PXwhZCkHT -/aJKk5xUOLVzXUqjLQSLCmMsHHipwDRxymTsRQge1st3+SdMhZOVgQ1KziFY -zRBjFy5OhYy4RWOHyyHoVn1cb88ZKjg4eUo9OBiC14OCDyUrU4GkJ3BBeikY -b+i/GBbVoMKG7JfIzpZg9JN2CSi7ToVa/rpt4s+DsU1g664LJlToZ6tsNrEP -RhkeoyjKPSrI/KWW374QjI0HbBcNnahAP8cwdYw7GD1E5RWnvKjAMqalXj8b -hDqX2j0dQqhg+qFi5khNEGrY70leJ1Bh94BCnSE5CG1fHSwMjacCZ/94j6F5 -EBZOTGbvSqXCncGk/Udlg5D7lA0pMYcKWz55vKjfGoSvwvPuHH1Lhb8T9obH -hwNR93v+geIaKigsBlw1zg9EYWO7evk2KryjL/S+4x+IBz7N6bT2UqGJc21C -XDcQpc2Pdut8ogLd8TvBXYcD0emvwOnhSSpEK3+5LfMnAPsTPgRZLlDBzsTf -wbYlAOWSY3b+XKHCk1xWcHgagL6mfke8GXpgXV9NBe4FYNrnvDaWbT2Qbqwc -OiAXgPnc+5Yf7emBsMZfjDJbAzBhe32+AF8PZITcrjQd8kcrTF/KO9oDrOl+ -uQZZ/rhXpLX+nEQPvBK8OcTt4Y9ZusK8HWd7wHt9XjH1sj/yytYxGKr0wDNJ -uYllbn90fv/UaUazBxZbztVxTfth1ulcO3eDHgguX3lPV+qHDVc2/rCa9oAh -ywOR4mA/rOSPYk2w6QHfkvgycT0/jC+4niHq0kObt3z83AT9UH/diIo+PXD7 -8IHAiB++uMyYEqQd1gNHpx1qLdAXPVsEGydIPXCK1U92e5QvjlwcjXFL6AG/ -0Iuz3jd8UShgaGJbWg8wmTW9LxP2RU13jubkvB4gyf5l8J72wRuiwRIyZT0Q -e/dD6/4AH1RJkDreVdcDPOrHNl/d74OczYK5Zh09wDk3U8JV4o1NOVcq//b3 -QITq/o+OV7zx2tViPfIIzT6jalezCS9sz73ufny6B5ZOUEOnPb3QuPDoxbrF -HlBMZ3jLy+mFmsr73+ut9cCHYsbMg1memGB2Umxycy+8vt5nMqvgiSq7TNUd -2XuhNMZhOPCdB6pdfSu6vrcXeG6NHJx+4IF5+0T6AgR6IRd5hLg3e6Ctcy0w -i/SCz9tDPzifuWPkLVe7kNO9QFJe9/0o5o6b+66YM0Iv/DBP7bdtcsOP75T5 -vVV7aec1nu9dhm643eZm0pJWLxhaG3WuLDzExKjYSQvDXvC4Ym+3EPTwv+8P -eoGuUftdIc9DpHiY1yg/6IXH1E2bFfJc0VWVTi/XtRccHP1+xyu5ontKeS6n -Xy9EZ3Zl1wy64PvIR52u4TT9LjPChdYuGMdILBgk0+Tv+ixsN5wxlzXnhuTz -XrjXHWWzTHJGwRfTLVFpvUAw3C11RcgZf9eqrI3n9cKCp3XD/TInFHJsWJIq -64UYCQLXDXUnzC+8Uxhc1wsBPgEnOUYc8akv/+ne9l5INb7AFmfviMMf6bz2 -9/cC82B/zgSDI/o204XfGe6FwjFJTvo4BzSz/XYvf6oXNjW8ytMUdsD7DBEn -dv7ohfsV1gsyb+3xWcBIX+AqTX9L8EDlBXv8/v3rra2MffB49rfJUI8dWqmm -t6Zu6wMNoUpSrJEdskbx7NXi6gOYzaZSqLbYWaV4aQd/HxwujWjJGX+AZR8O -3ho71gei+ZysNYv3sXW8QKdZsg+s+i7GMW/Y4L93yyIo3wf0hw/fD9xigwbl -S19bVfsgNSk7WordGodCXoVMafeB2+Xh1QO77tHq+1aWvbdo6/nKC+Q4rfDy -1OH7hhY0/fzniyI5LPGc26+iAvs+yNew29i13QJ1/7h94vHsg3sZl+MoTOZI -MimajgvuA4UT3a51a2b4623Su8PEPlD/vJ4y/d0UPVeVM5uf9cHL6gEelc93 -UOTocyPPtD7Y1WrwcbDTBOmUM38pFfRB6Ubw18RSY1y5bGPLW9kHVxsrvaUU -buNe+fH2rc19YD6OtU+33sJbB9i3svX0wRcDrngLyg1s+vpd5OCnPjh2tJ75 -ZYw+XnsZJH7hax84X61nVbp0Dc8r2cSd+9kHIU+G9t3cqoulFHjfvN4HRa3d -Rb/HtbBClW51nLkfoAVeHU7TRI2snGXizn5Y7Xq8YFyghjbLF/upPP0wmZ5+ -6cX0ReQW645JEewHLR3b/M8ayqijrXSEWaQfEinzhw6Pnsd9t1MT1yT6QfG8 -usb782fQ9triYsCZfjgWeOzBA+7TqCUjevi5Yj/MDC5o73pyAmsZ9U6rXuqH -KwvWdNcKBLG6wkowSLMfTqVFtl5a50CVW9bfdfT64f++36k58z85aXRS +1:eJxdenk4Vl/UNhnLkCSRCikhUsbox0oJRYOpaCASiTJG5nmeH89zNKAyz/M8 +LfM8D1EiQxFJkgZKfc/7fe9f3/njnOu+9r7OvfZa+6x1r30dQVMbnTtbaGho +lKi3/3n+/9dYIQuj80l5uDz4/bKuFQ/KOZn01O7WAB7hiIPjOqLYYxViQ1eu +A+rX+RQ7s6WR+Mt6Q7PfEC73VHctCp7E4cp9V8azb0HOWmzyfIAKXr2zrSAp +zQz8RyVfVZecxY8+3dVuY3dBPapw027fefTfbuZirnIfvvDx7tlWfxH3B911 +LFyxhXbl4l2ne7XxT93WgBM3HIDxTTePraEeWuRTHmjMPoQGY0PuKNqraGDA +enw64BGs9BmwP281xI7K+91bVN0gVKyL5kXGDbTjcjwQzuYJBRnmYtkUY/Qc +tt521NIbahtWZpeUTLD6ZJ+2QocPOE8kLFFyTfEDmMzPC/uBe7pifj+vGTJO +H+hS8PWHMf62Iwm+d5CXn2vz6HgA+KmdMf09b457NmQ82o4HgRtfvs6nc3dx +h32AJl1gMHQmbtu0zrDEX4EMd2dGQ8CiX9/Em84KB06UDpodDgO9gmivQ9et +MT6IHBbqGA7RytVXb+TfRyOHZJIuRsAht5GFgzQ2uO3P9DwyRQFN8ZenKGyL +IxwZLfPC0fDYaymhtMsWBVadlBvao+Ga0LTH2gM7nHt508jaMgZUE3sU3Tns +UUDgxqEFZhLorRQOaxXY4ytr+1j5dBJE8kZqml90QMbgFxlXVWNhldf0efui +A+bZzZmdm4oFz68S/Q4BjjgoqtrO6kYGmRdfJyz2P8S7BZWDL7kowCac255S ++hDdGdT9mXMpwOl3K1pM0wm3iS69PaVKwKlyFtn1SSdk48t8e/4NAaTGvDIO +O2cMH/fwE7aJgx2p53bZ0T7C9nqXz/50jyFhUuNbS/Qj5BTMkdv9+DEIfeH5 +VsXvgsZ/uIwnxJ/A41eVXJfzXLBMp8R8tOEJMFGOGAT854rbD4ZeYLj6FMzF +HtRe63RFJ1tip8XSU6gnfFT7r7rhpPzrij8+z2DbmzufP7x3Qz1XHaXW3fFw +aW1PxTM7d+yW3/KyKTce/D68SPm66Y7ath9n184kQEnuz6L5EA8cE2JgvPYm +AYa1+Gc9dnmilY4B0zfbRPjSuFu25IUnbv2/8XkONBzTGaFHvDBDICm9PvE5 +bJFxU6Yt80KRW1rJG3tegOfubxssAt7o/dl0Js/8BTjSxFjMm3njjQquHR5F +L2Beb/cHUqY3VtWbSN75+wIGuQId2Je9MZHxvJLF+ZegeGqC85qUD9KH9ij6 +ES9h7+jepodOPrhyfulw5cxLcHmt6m9S5YO3zqXRb5VMAm11/Sv7/vrg5YDf +/fZuSZAscFEhU8UXO/5+Cv/RlgT2dyQlGAJ8sbHU+QSZKxnKd60fP97uiypZ +iUOaJsngfjxbXYLFD/Vjfkuw5yWDTHTG1NwFP+QREN3dvZEMt7bq2WhG+2HI +lcNRAeopQJOQ++3aoB+myPygKJJTYKdm6f1dXP5oU0U58mkqBZ7ttJpw1ffH +mXmGy3ESqRDzo/d0GOGPTLVqdOCaCjRrUwlqo/74SsHg7ExrKrxjebmcszsA +dY0UuX12poGU8nbZuqsB6Cb2+SHfrTT4FXrEzjUuALUTbc0Kc9Lg2Or3pOlX +AdT80zx9ej0NJh0edH7bFYhMHguf+86mU+NDmS/QC8Sp+clgA1I6xLRabvDE +BuK9jZSit5PpEBe3tEV8IBATS05a3TiSAex++2gX2YPQlzu5aNQ5A/4E/1nT +0QpCDoHx4IvNGXAtM2TSPCQItQZmP9dzZIL4++baQ61BKCNWO330ZibYK+SR +orYEY4eYhdnjzEw4knn2ZopyMG4bmn64+T0TrkiH7TNzDcaNAxLcRqezYG3U +c6i3NBiJPefOVkVmAXOcgNf7lWCcrJSl4xzPgnBbW4HUIyE4RPPt0p3D2RBg +9qCcwzwEtU8sN5c5ZEMyx7tJkRchKJ9J/s1cnw0JnEHHv7wOQV/duW/XWXPA +9p55/u2doSgjP5ubb5AD+3g8DAK1QlFTL0yUPjUHUvm6xK8GhGJr1qS54dcc +oHt4WfxVbShmKU7cyVfKBTjIdpXuRyiu0gUJM4bmgq4obe6kRBi+ZHybcfNV +Lpz2Fz96904Ylp5+u1R6IA+YZaPePI8PQ6mKoFV2mzzIPilaFDAUhtwWk5V3 +q/NA5PFGCe+2cDS9NHW6iSkffM7TzV4/FY7sdhER+/XyoUDnzH9aTuEo1P3h +ieuLfKgqqKpfzA7H+FsLFqNL+ZBgZmkrPx2Oyru73Z7uLYBrDy5pSnFH4NQe +l5SO0wWw0mOtPX4+AuWrf0us3y0AU78GXymvCFT5pcsjGlUABZEX3skXR+Cf +AZ/bhqUFMPSFw+LTXARaawRsDx0vgOE0lj0X9kRixHWjvdW0hZBRrPTrxoVI +NGNhC106XAhG3Om0fN6R+OlqjMH+i4Ww2KsuF1QUiYdVPgVddiwE7Ql+4uX7 +SHQ1Xpn0fVoIDwldE0vuKNTQdDpYWl8IKpeYxibUo5BM72EwP1cIRUwnN/4+ +ikLDMHo3XrYiaMbltu7MKEx8xxquKV0EHs4HVNTfRKERLRHmYVgED3Z/kpJn +iManK89d8r2KYGWVnk9wXzRq54pdmU4tAtXeSYNpmWgMkpcT3NldBNaZj5ac +taJRLqrxjepqEdzxHxx5fzsaTUv6fJ14iuGY8RKXiFs0bku/yZuhXAy9Cq2Z +KqRolLKwTnxtVgynuG6Rj2dG49Da7x0sYcXgv1w5/BOjceUSq+N/hcXwtH3o +HvlVNIY+Smi+P1oMvkm5V5g+R2Pig3y655vF8J+7+uPLdDF4TOr08X6hEujQ +fylpyxuD/zXpX6A9XwIiktV7zSVjsE1w8aqUbQlcY6YYS52Nwe4L/y7fJkrA +aPror+FrMXhRnXKCXFMCclXBcxdsY1CLPYe9ZaYEJklJYgkBMdiadGboO3Mp +XLNyb258GoM19DcChSVLIeMMd0ldPpVf5vvhq/ql0Mlnux7dHIOk2OnmILdS +0JHmrFF4HYOGAd8sK16WwpsOf6HKzzHot/XI7oW2Urj1rk1y+xYScgkEd/Mu +l8IHh/Gpk9wk3NqxLfQ8VxlYBqEciJHQmL74kptiGSzwOh7nVSbh3kHvfTm3 +qONH1vs7tEmoJOX47W1gGcxXX9ylfYeEdQcjBthyy8C0y/Fv3iMSpmd2lSsP +lcGkoVX4bBgJ1+ol02zWy+CqhRQuJ5Iw9V5l/Av+cuj91kH0F5KwKO3us4Gz +5XDq3/GdIc0kFHuolLTFuhyKQ+7J8IySkPGVfKE0qRyEYx02PBZIqN5xtd2s +ohzi+C7cqfpNwk8XE+Ypk+XAKPTTsYctFr+bM29vo68A+0w70XL+WLy944ny +L7EKmMqr8XU+HouyFy85iWpXwHnpMW/2M7F4b9+RsmvOFVAk1yzkrheLW7zE +/4YlVABPpbdV/Z1YpHHQvVjbVAGeVWwG406xaLL+PG15oQKmFa0WuoNiUZiT +k0mAoxJOKz7hpzyORZ3aTBttuUpIqYjbkM6MxcpHPa98b1QC57J8dWZlLMo3 +zkqV+FZCMqdm0o+OWOx/suT3IaMS9IQH8ve8iUWff/Md3H2VcEi0c3bXYixq +fBuh1/heCbv55JQ/rsdS/VMm48JXBWJ/uDBmKxmFAkIMs1Sq4Fa3uTknLxkl +hS45jFtUQVHYEZl7ImS8cYnJlzWyCkQVb4s8kSdj4taiAKWSKsBRtjPP1cj4 +S/uS54M3VeBkdsTfXZ+Md0XeWT2nqYYLU9WfjpmRcTbc5GK/cDWc0kSXGnsy +Bnq9OkR7oRoMU2WP8vuQEWiUV487VEPs8v6thlFk5Nj+uNj0STUsCbttu5dA +xr/Z7y1jsRosL56X0smm4ldCu5o/VAPdnXDvHZVk5InWL11jqYH6eyrf01vJ +qDXqcv6QVA0kGltG7xomI5ETPaxvUAPPztDrXJum2rv9qW6gZw1UcnMqOi2T +8SEt0VaWUgMbYyQNy99kZPLxPzbfWQMGoYEe0swULIy8E7n7aw28Fvs8NsxF +QScxhWn13bXgVtV67YIgBV/K1cg8UqoFC+bNOwkSFPSlZJMzbtdC66zLzRYF +CvKqM9G+DqmFp1pKKi1nKWih2eeytaAWZk+r70jQpqB5Et0/hVe1EN8a16N1 +k4KCWinR9/7UQvfoUafhuxSM0Sg8+uxAHdg4sbLIOFKwNE7sdZdGHQQmHQu3 +8qJgtAJH9J8HdbDjxrN111AKHjhqqitBoeJkLV1TCgUtHwoIGVXXgb+z6hPB +FxQ02675L3K6Dixf+3ZVZFH+V18j1LczLR4upaDjyQ9vVyQQIs8OrT1AKn+P +3qSgHkL/pQ+fIjqo9hYdW9JxRQicV+rzH6Lg6sIjRv8XCAWsE4m6ExSUcZSQ +KG1FuIiN1zbmKCimffHW3BLCrX/LW1xWKHi//nNf2NZ6mO82ietbp2Ciz+LD +lL31MHWYj+fvFgLFrPcdqJWsBy0OvgB6VgI5vQOHR07Xw9FAk8kZLgL1aw9F +LOvXg3/IstCTfQSuCf3WZLKsh4t7GvUPCxO4VMy4Q8C9HsJOvHWIOEqgpDzd +qxNR9bBp/myhS47AXOdssnZSPdwVMTowq0yg6cN/WvdK66Hd/eD+ITUC9Y79 +++vbXg8HzJbfPrtIoHdGVtaz8XpwnKw2UrpC4PsJ2ssly/VQ9Sk8ufwmgX79 +9F+6aRtgI8wke9sdAm94Fwd94GqA46jgJGdN4P3PbHv+Hm4A44Bd9CcdCKze +zZXGfbIBAibX9HhcCTz1r+WI5MUGSOocvdvrTSBNilC2ukkDlJ2rg5tBBH5j +kDh4y7EB6q9nvG6OIFDk0AzxKKgBGmkIOQYygRTaM3QxTxug7kTQlf1PCVR6 +dskyM7cBCujcFTheELh/lamjob4Bnpg4vnuTSqACo/WBN0MN4KZjq+GZTWDU +qNvD1bkG0H1l47hRQJ1vLt+4baMBhD7b375URuDHwkRmIbZG+ES47PKoJvBT +VaHGSYFGyBryC/WrJ/Cwj62vrnQjGCfHNJq2EJhIP15qpdYIbIzJtXs6Cbx0 +9uuMn2EjFP0ud8vuJVDxTPHWeOtGuOjf/4driECpEedjJV6NMOBeUn51lECW +QcrNblIjiDQeEXIcJ7BVgS3mfWoj6OrJwb13BN5hnez8U9FI1Y/DLIqzBM6c +Y2bZ1d0IYsYMIbNzBJ5eDdOWeNcII6MdZeaLBPozWCaeXW0EvQRBStNnAtP9 +nn+9ydAE6fmsBzdWCMy2ltR04mmCPvaw29vWCCTV82RHHmmCnqp43bUfBF7z +ur4jXbkJnherrJWvE0iX+dMDtZvg7LrLWf0/BAYpfV4ZNWuChrCz6t1/CVz9 +7797K85NwGGVsr6XNg4h/f0Cc1gTyMYRN9Tp4tDGfc5GMLEJjnLstdVkiEPv +GpU/CoVN8HdERkaUKQ7tLX5E6jQ3wcuPUxlTzHGo5kEvYjXaBDwaAr122+Lw +96ZVm99iE5itrSa/ZYnD2AXx+/GbTRD02UBMkC0OWU6p85ZyNIO7tI4JsMeh +GW11V49QM6i3TmrIb4/DFxLB/nNyzTCf+neKmSMO65rzzvw71ww3BgtFS6i4 +pvXoVp6bzZCi+euAwo443H8zr/eYbTNUsU6eeUzFZoVDEef8miGGw4ZukIqj +mxLVTIlmEL+abTJHxUmx29ddM5shZIpkNELFhKBwamxNM6TnHNpIpGKH+zPn +c/qo49W3Zc5QsbSz6mLzTDMcZbvA1kjlH1XW8pv43gyUl9P+fFR8u3l91w/m +Fqh/JPjsEtX+fqYLSex7WyCLxHrZiLo+4a1qIoclW+Dal6Rkder6jdrfp8Pp +FuiOfE9hYaXynRUVNNBvARq7AeEsqv+cvHeSbe+2wC+K5RWhrXFo7JJME+LW +AgWbOQedqf4XP/ba/GVkCxzJeBaTSo3Pu5SS1sqXLWBFkU3Io8bPaVRWYLCk +Be63uKqTqPFdbbvhsNjWAsdPWEVf/kegrpNo/ZbxFij7zPhogbo/nsw8Y+Rb +pvLPXfh7Y4PAZtYSNWnaVmAVPCWU/ZPAkTU7b02uVhhPnJgbou6/zqcjxbcP +t4KVsfC50a8EpvydnHJTbIU60z3nS5cJNBOIZCJfaIWRjMqF+58IrJdWOppz +qxXC73vH034k0MVa7XqzA3X+0uIr2/cEBndmRr4NbAVb4fXH1VMELl+waF97 +0goJe7Jnpt9S8+EPT2a23FY410FTMjtG/d6aVy4eqm8Fe6kN1oZhAmUqap4p +DbUC95W4zy79BP7un1nWn2sFBZkxffZuAvduv63xYL0VXnfXnPVsI5Bsr5QR +yNoGP/jP1nY0Emj+2479OX8bxEjY1azUEhiZSeNWLtUGWd9PnflWQfxvf9oG +px6VaA8UU7/nh+IWHw3aQKe0az40j8Cd5I45Gus2mMkJZBDIpOaX0XprXq82 ++GL0ISs6mUCvU5zrx0lt4No1P/o2gZpfe2vDzqe2ge9qRDj9YwIv+jYdvF3R +BvTDo+1MJAJPXBdqdutqA2bblvC5MALdDScsyZNtENR6ZexFAIG8Hl935X5t +A7/RsGw5LwJZW43bW+jbYTPJjDHjEYGGMiI+k7vbYU1k+uOaHYGbbedP/RRr +B0tLWt19VgRueLcxcCi3g6FZi6qgGYFvf6t2iWi3w+UnalK01HohOWIZqmLW +DmNBiVG1+tT6xSp35ppzOyxJ9ty4Qq03IsmZ3+1D24EUM/iki1qPBuKaX4Yl +tENrTp7aPiBwcTFQPaWgHYJ9TG5ryhNoH78yV9NEfd/2T1/0JQm0zaH1HnnV +DlVXNZf+O0zl31PFubzQDqKGvgY0/ARWzfM+Z9xsBxGuaJkX3AQy7xQ8xM/R +AWXBjt572QnsiO9LkRfqgIEyyf8eMhD43f/Q/styHeCV2GCR9YeCT7sOxNw9 +1wHVIEZX842CBbZtm943OiDgqSV71iIFZR13mj2x6YCJPK8Qx2kKir9ibC70 +7YBGd2tHvjEKPiZe7uukdIAC/bG+xF4K2pfO2s5kdIDy2c4nf5spWCvVU7tR +3QFDcHJYsZqCruxG9Dv7OuDnN19P3UIKZmkQqkdmOiDD5OVjjXQKnpt+6Hnm +ewd8DCYO7Emg4I2xP4XXmTuh0tJ0bzuJgpMSR9458HUCLz1DoE4wBQemaRjD +j3YCo4GncaUHBbt5BsVTVDqhk0mSc9Oegtd/rhrW6HVCVqkz036q/vL2uB0x +bNEJqSYGsJeqz1SyhdqWXDuhlmWg8idVvz32lmZiiOyEleJppwI1Cvpvki7s +e9kJKtdCndROUpD5wOmnsiWdkP23s6JSkoJCy6c+X2jrBJmXyUrbDlJwzCRK +zfxNJ4yqcDGc4KHgQQ+JNM/PnUBM7d1+hpWCjKf4WONousDeo/b6ERoKemUZ +PMrf2QV3eX4srnwjY3DNh8U24S7wLmytiZ0n43GnxttTCl2QpyE5sHOcjPaj +yzO/tLpgY0JSxK6XjGpT9+7uuNUFt+3aG7IbyFgULbcm6tAFc1vWE5pKyFjx +UTvwdGAXBJAaairSyXjlY83+60+64JTAgf1hT8kYHuVc65DTBdw5exoVI8ho +OOljGo5dsE0uO6PNi4xVw+NsqYNdwFs3NHCcqvfL7AOw9kMXnFElK7lQ+wG1 +Ck/nV7+6ILx96VPCFTLaprbJfmHphuXzE2+fa5DxmOKtDSb+brDuusPhpUjG +bxucKCDVDT4HLVdPipOR3zrLQ+FsN2Qd61x+tY+MpRHCcjoG3TD7JZjh8nYq +Ng76eM+qG46Zpsql05BRcKab7OfZDRFB/L5vv8biL66fivEx3fDH+Of8l5lY +PPmLYbwkpRvcP4nfnR6KxQ9h64495d3ALla/pbA5Fv/0DTDPdXZDCV9huVFp +LLo3RxJ/J7rhXv2/wMXUWLxreWz/7q/dIMuTY6tHxGJtXdlzSfoeYBcqc4wP +jEWnZkE+jd098G9qX2wjtf9LcrWLviXWA5vas12t5rGoOJ3675FSD7A5MfJn +Xvkfe+ruxlzuAckLQeGWarGYijVdmbd74PboHS5muVh0lX8u0ujUAxlcSWX+ +h2Kxwtjc601ID2xh+M/hHVcsmp3g7F+N7wGrDOnzPPSx6NaQtIeloAfm/gaf +lPxGwo2N3cZCTT1gz/yf+sEZEr5/b5dw8lUPcLZo3f/ZT8L/vApGdBd6oEmm +OjcDqfM7hpis//RA4NUg5hN5JDzYMi7tv70XrkkUeqTGk1BNVtM4/kAv/P2k +avU1lIQfJ1OiSmR7QbLjouReFxJubfzY3K3RC5+bezsOWZAwZ5L73/vrvaDw +rlmRXZ+EgzKSypsPeoGT57jvyGkSPmw+7rvLtxdcbXa+cDtGwmcR+7skKL1w +/4MVmWY/CVWiv/OoZfTCkouisRkLCe91l1kZVffChrgXTfqvGOQ8bdrk1NsL +j/8qPGr/EIOKX3/yR033Ai7fa20fjMH3r5190td6wWlzx6d0jPnf8+s+qBeT +nLuTE4OlV+V0x/b0QbRTY/mWJzE4u+TYtCLRB0tTXcaeATFIqk44sVWlD8Ys +NSfH7GKwvqmgSFCvDy7tUJHmNIpBO4a844oWfaA3mmMkdj4GX3pTSnVc+2Cu +OvKWoFwM6slaKFtF9AEjzp34KRiDwUJC3X4v+qBoqmQ+my0GFTQ7jOKL+2BZ +YN0a1qPxQY7hj5LWPij1Km4vfB+Nh9SHST2v+4B5c3ZjS380GvApyMwt9cHi +41A66epo5BANfvP3Xx8Y6KbPqKRFo7+wSGPY1n5YZ+JflYyJxvXjHDw8O/vB +lvQqbNMtGj/J/XmQsrcfalZ78rPMo9FQdqn1mHA/TIowGMlrR+NpiSn+Wsl+ +GJNxJ5JPRmPm/jGXcwr9kMYtbfjlUDSGbh2m9mf9cL5XNG0PRzQufB46ZqrV +D8Hl9Rvn16KwsWuU2q/1g7hnbi1/exTyJL/75GrcDy4rvJTiZ1G46PDpPJNl +P9ixM/ow2kShnPLvrFj7ftg/ZufDczoK/9BuZxVw7wfvCzeJBa4oVEHhBzkB +/eDxoB1d5iORzun0wImofjhysnizvTISVYRNZVse94NP8b7Lo2GRuNEX8FQ7 +iYpHmcpTbkaipEMuzWR2P0il20kdl4zE6e2vLe6V9kP4geuN7jSRyJ7G3P+j +rh9I55rNgwYisEL2PwW/9n44tzeXXzspAt/WOSRvH6Su/+muz6/tI9BTJZ89 +frwfCps3ewXPRODT2mVXkQ9U/z690yKyMwKlpKU+liz3wwDfhf7lmXA8l+Ry +ReVXP0xplHxxKArHo1xJVT20A5Az+/tkpU84ypOCt15jGQCtPP8evByOt/dK +nJnjGoCyjIspQfzhWFwUZWG/fwBWBg3rOZbD8JB+gdPfwwPwWzxN8HpNGNbR +RdmGHh+AkTLpdvPQMHSpO6LPfXIA3Gy3lEgbhOHVgMCDSaoD8N2Q80PDoTC8 +ZvB8UuLiACg43L6+41so+sg7+VVeHYBLNd+5D9SHYucBlp1nTQZATrZj91p4 +KJ7YYxTRf28AFicmbgYZhmLLPpvV644D4FMmvTB+KBTdJJRPz3sMwGBdZ+XX +ryGoe67L3T6Iau+PpL722hC8aMedtBk9AAtGNWImISFok8pfFPx0ADJ+cHdV +6YVg0Ye5nJ0pAyBTV1Qwwh+CvFLWpMTcAaCURo0XLgZjami+qWj5ADS8zdW8 +VBqMel8K9pXUD0CtNBttnlcwHr5l26TcOQBBVbm/e84F476Jz7odQwNw0C7q +ZP7OYJQ3F+3TnRiA8KtF9doTQej4+4Ds5NwANN/nDitNC8KR+DcBd1cGoLe4 ++ukbmyBUTIra8W19AHgHktaoPTZ63/YR8aQbBL773Qm3aIMwbSq/cyvbIEwF +naCKp0As4OX7ReEeBD3B+YnxmECMZ28qOCAwCD4KY46lhoFoielr+aKDYNXP +cl1LMBB5xDualKQHgWnOJ/rFxwDM1ju8v/u/QTByVdxVlB+A+xUa6a6rDYJ9 +hOyCt1MAPnz9xHHx0iAo8juwsilR58vm2boaDkKl5DfPK3QB2Hzh389ttwfh +R13pqZsd/lgjGLEt3noQ/nVXaQtG+ePTwqsZEk6DkGG4pShJzx8NNo0G0GsQ +tt8JN5nm9cdf9MkBOiGDIPrF4NbEpB+6twu1fCANwp+fFnlEsh++U5+Ocokf +BJJXpSbbXT8U9hv/wJY2CK8CLpxQEffDS66cbUn5gzC07aCj1IovXpMIlD5R +OQjB7Er/pop9US1e7khv4yD8jn78WsvZF7nahPLMugdBkCRH56Toi625F2p+ +jwwCDedu9xubPnjlcol+7LtBiOdQVKWp98GuvKuuRxYG4VPE8+smvj54q0hU +vXF1EPavdB6IVvXBS6p7X+v/GQSTBNiIZ/TBeDPJY3MMQ5BO2ZwOavdGtZ23 +tRy2D8HGGP2by6HeqHm5XGKTZwhMLPRnfmp6Yz6f+LDfgSHoOvVlw53NG20e +NgCT+BC4d6ao1yd4YfhNZ9sg2SFwydysPC3ghQzDF8zpqWr18f4FLZ8kT3w7 +piroqTEEjaIWNO4HPZHd+sbLNe0hoO1yHpZK88DECPKcxXUq3xa+jtTDHv97 +fkAd7788OZzhjv1u5vWqD4bAT5qXq17UHZ01aPTznIeAT8LxnkWWG7omV+Vx ++QxBZ43JdKeYG74Op/Q4h1L5Z6YfLWa5IkEfUzgaOwR2iWvHWsVcMW9b7jWZ +hCG4tfJkq1GWCwo9X2iPSBsC27GBLUWiLvijQe3PbP4QRFyJ39uY8QiFHZrX +5CqHoO7e+pXIw4+woMi0KLBxCPbuWijkTnPGJ96CskNdQ+CqbyVx/aAzTr6l +8dg7MgTLR7w7ric5oXcbTajp5BD4Pj4cyCPghGY2n+4VzA/Bt3k3szfxD/E+ +XdjRHV+HYL9F3J3F3Q/xmd+7Yf+NIaDhdg3RiHHEL18+3mShH4a0NaH+NWZH +tNRI70hhG4b1LRSFL54OuC1iD4/27mFgVOlpkf9mjz21p89xCA7DaF63Y+8d +e6x8w39zRmwY9LVi1Ypf2WHHbKFum8wwhPMKnpw7a4d/x36Jo/IwxHI76VgU +26Jh1drHDo1hOHmGFC7Hb4vjQalB8zrDcAI+DaZz2lDzO8tWnpvDQGtwatBz +9j6enz90/7oF1b4+od2rBdao5PK9uNBuGOrSKC9p3axQ76fLxB73Ybg1QbHL +VbmHJJPiBSJwGAwcDgZ9p7fE7+Uvxw7FDEPLXZWZ180W6L6hmtX2bBiqa5d8 +r/mYo7hogpF72jBstRe2dFa8gzSqWd/PFA5De8Ay+djKbVw/b22zv2YYuNZV +GQOTTJFHebaLpW0YyrpEa521TfDmvu0srIPDEPNbwYFlwxhbP34R558YBnZ+ +pZ/G52/ilRcBx89+pPqT5ibj59hreOqMNaH0bRgsc69M+sxfxYp+eN22OQw/ +JedrPuvrY7UGzcYs0wj0B7MnHXungxezc3/F7BiB6PLuiPN+l9H6l/rIwJ4R +GHt0hsbZXwt5j/VFJQuNAL8k3yrjCQ3U1TkjwiQ+Av/FCHxVFVRFPuOUxD/S +IxD7XHfz2KlTaHNlddXv5AgMl9yVIEQVUPuExKGE0yNwyTfAVVhZChvo9WU1 +zo2AzeXfTYfcD2NdtaVQwKURYE1Ujbqfyo1qN62+6OqPwP/7e2el+v8AnjJZ +xQ== "]]}, { Hue[0.9060679774997897, 0.6, 0.6], Directive[ @@ -365158,202 +365159,202 @@ KwvWdNcKBLG6wkowSLMfTqVFtl5a50CVW9bfdfT64f++36k58z85aXRS AbsoluteThickness[1.6], Thickness[0.01]], LineBox[CompressedData[" -1:eJxVenc4lm/4N9mjJIpUChGhRUalszIyioQiIytb9l7P89xWihBaoqxSdsjs -tPd47BZFX6OMUCSR9/m97++f9/7jvo/PcY3zOs/rOsfnOm4hS5fLNzbR0dEp -0V7/8/3/ny+V7wo5mH1OyoPh3993ficzopy3RWc1nzp45v9msDffjp2Ot1wY -3lyGmCjFT18f7cOkf5wmWlQjMOL7vaA1KYb95XuufHxlDprBsvVOidJ49QZ7 -QVqWNUR9XjmQfvkYTpE7KgPe2cGcE98FJw45DOWy9rM56wzak0ud3EuKKBhh -51k47wpl2gm597hO49pbtjAFEw+4o+u35Ct9Fm3zE2+qf/WCs+6sykmOymho -yHl0NMwXvG75oFCdKraWO3dsUgmAffebHHYdVUc3Xk/hO5uDQaKITWI2WxOD -+53YD9mTwGlBf4RO8CJWnuzWVWwlw6z+i6gr0To4DhaTk2IE6MzR8/3+ewmZ -R4XbFSmhoN4g89/XQ5dx517e9UMfw+B6zrcct+t6KLAqG9R8NAKis0Tcnkbr -I7d7mBZDeCR8LBo94lpugCvhTHZjQ7dApUdk5svYFexRKOm1PnAbWui/py2y -GWJyRMLtKM87YK8ia5B5yAjNPNLj9TAaJB9uYvhz6Rqyr41OIstdoKczyJl1 -NcaBrS8aJ8ViYdlXUpeIMcF9i96na1tigZ0xfKE82xQnnpmaOdnHwcln1tFx -dWa4b5+J6DfWeAi91Lyf/f11HHRyvyf/PB6WWIc+xnmbI3Pk0xdXVe5BhTun -iMuGOea5TVhrfLkHUeMMU7sjLLBXQqWFMyABHCyqDz7ebIl2BeW9z3gTQX9C -YfpbvCUGMp0PZc1NBG1Pbwk2Pitkl5j5dEYlCYw4vMdXHlrh5l3ZnzQ/JIHz -K/m95bus8c7HIELM5T7c068c1Ei2xpYav9lQhgfAWOB4iH/3DdwmlCPH9+AB -MNu+Vo97fAOvr/FeH5Z6CNF+8aIlAjZYernYZqj2IWRNsjYSD22Qa3/URaar -j0ClcMeBdT5b9HZN4rGdeQSeg5VaQkm2OCL/vmyN/Bgkrq4cm+exQ33/y0pN -fMngLtPxyT7ODjvkNz2rz02GM47yGjFb7FHXderrL+UnkPpP0df0tj2+E2Fi -vvbhCYTN9tkPsTig42VDlp+uKfBXnklwjXBAtv+7P6mwMdyZ0L7hgC/2pT2v -SUmF25+lu1QDHFHc/EL6qsBTyDgl2uaw7IikWcuxPJuncH7pdeQJNyc0KePl -Dip6Cn5sH9hKpp2wosbi8I1/T0HW7/7FDzecMYVZU8lW8xkEqszovfzsjIxR -nSeIpGeg4/hut6jRTZzXnDlQPvYMCmaNX2j23kRzjSxGtsNpkNzuvSyg5YKX -wv5S3QPSgJdJgiWp3gVb/03fWW5Og80XFWeH97hiXYmPQgJvOug3Sig0Gbni -2ZcpfVoW6WA1feM/ItEVDeL+Sm/JS4ciqerTzD2uyL9Pgq9jNR3ql//dO8Xp -hreuHLgbdj4DEjQk/xM774YZssuJJxIyQFT03OFGshu6VCRKTn/JAO9byl58 -VW44Nsl06b50JoR5Hy7ds+yGLNVqDOCfCYYzTItDh91xUNFQdawpE6a+N4mr -2bujntmJHWSeLDjh7n7NLs0dAw7Oeu0yzwItCmvE6Y/uqJvial2YkwW7d93K -a+HxoMWfhtFzf7Ig99QvKvMFD2QJ+jbbrfoc6Cc15lYID/wyORJpGP8ctgpE -MadWeqDDakbRp5HnMDpQvPPPogemFJ90NJF8AW4CbQdYDnoiZUd60ZDPC6ib -bD3Sau6JW/d9jNRueAG9SsWycN8TL/R8na3Zmg0Zu2/J2Hd6ouzB6tFDptkg -G6oufZ7BC1sP2lo/yM6GMM9FofcKXsjeN+q1vpQNCbPh3HtveuGqsPQOs3Mv -weYH0xp/uhcmCWioVsS8hN9+LqNNQ144Un6cYdvHl6AeXV8rzumNfXQ/dW4c -eAWGEpuenD7jjboKcw2lHq+g+AkRyerpjfLZCX9Za17BuOLTh+HPvZGiN/HT -mDMHptevUss+eKOs/NfcfMMcaP72Uip1sw9q6d+WYMzMAd/1B3mKZ3yw6eWI -jdFCDqwrCF+JdPfBlyeGb+Qr5cK1ZDWR2xk+uMgQIcYclQtRB5h3nBv0wWfM -n16YDuZC7AfzQ7ksvlhy7tNMiXAeOJRccepQ8MVjZRGLW1zyYHfZZHeqvS/u -sB0pt6vMg/QxHqMDj3zRUufLuXqWfKA//pHFqs0Xt7hFRwvq58PRfKVPl1d9 -UaRj/KH/03xQ0D05uCzhh8nm32yHZvJh267+JW0jPzzN1xHwaHcB1HGynjSO -9MMvAn4ZrecKQF1sKHPXGz+Ur/wr/ceuADJsz8rFjvvh2RU9fom7BTBIVZsp -4/HHtR6ylVFJAXywmGxOPOuPTuphXFEfC6BUQKRVzMUfo43NdlfSF8KNv39+ -OCb7ozXH5qiZA4Uww+B06karP05fjTMU1C4ENVm/vG3L/njg7HTEJc9C8L0j -pOYpHID+1+dHKI8KwV/nk9ot7QBU1/LeX1JTCBdq9v265B+ACYxBhpMThbAw -MnexPTMAjW4zBuzcXARWr9T0V6gBmPKZ846WTBFkioqzvPsbgGb0SbeDjIqg -7OI9GwexQHw0n+qXH1IEj0Ui3fMvBaJu7sEro5lFoPOcWfK5fyBGyMsJ8XQU -Qf+7LbG6GYEod7fug8piEYiVPX2W1xmIlsXdFG/+13Beter62+VAZH9uuvPF -6ddwgmTRRtobhMdsnVLeW78GOvvY0aXzQdj36y83x+3XkLChnrnHNQjndTg9 -TxW+ht/Kt7ev3A/CKN8nDc5Dr+Gg3NVjYRiEKTfzGVLXX4PUYM7fhokgPHLs -3FGqSDHQ7b/j9mZzMJ6qN7hIr1kMz/Yu3TORDcZmoe9Xj7kWA2/rpGXxtWDs -uLhxySqpGAz2WY+8JQWj9vlEhYSqYnAQdWAOzArGC1tytjSOFcOFd38+TLcH -Y1Oact8Sawn8U+Ax2bIQjFWMJuFih0uAdL701tftIXhEdunAVYMS6Gf4auV4 -IgTj7402RASUwNZdHI5ZZiFoFPbTvuxZCeieeLo3kRKCBJsk37fmErhnkUaW -zwpB3n2RHTvnSuBTLHdcdGsIsrWyR2nyloJ4y+zF+zMheJ3xtU7AiVKoJHaZ -vGQh4e5e0p4c81K48Xx5coyfhErHPH9+Ci+FrTqRmksSJHy7P7pnc24pVPtT -idETJHye3f7mdF8pOB3svvdci4S/ag5nufwphT1mof7nTUiY6VCe/HTvG6Bu -n5evdSJhUZbd4x7VN0DW3dbBG0TCg15KaZuc3oAc97TcuWgSMg/KF8rEv4E5 -fX9fjSckPN96tcW67A1k766JPZhLwmntJ5OJI2/Ayq4qZLyKhEs2rFzNjGUg -pOCi6t9BQivuh6dXDpbB6O13o1MfSXhcW8dbQrcM0qxW9I9Ok9Bhj2TpNZ8y -sMauFL1VEm4Kkfp3+0kZSKQaow4bGek89LSr68tgflNqqSg/GS3+pGbNfSuD -su8PQgfEyCi2bRvLvq3lQLmiIXH9OBkvV2e76MqVg7ZaQUadMhnLfTsHKSbl -8DNQ3IbuMhnl674eK6aUg2o15zC/ORmpD2eI8RfloM5iysZ5k4zkjcnWHd3l -sHpt5/T7ADKq/xxgVF8qB+OysxTKLTLNPqWyfrsqwHHvYBfTfTKKhN0yenm2 -AqRiB6lWGWQ8LKLj8dG2Ah6wK0cmF5LRRIeFwhlTAW9idi3lvyVjCltRmFJx -BYTuNudLaSfjiq5O8M0PFUBXvPWHzTsy2ol/dkylqwRJA+lA9nEyfr1joU0V -qwQ6utK3kQtkDA8ZFKW/WAlRxS9LR9fJCHSnF496VEKdO4f1dnYKbuV68Nry -YSW8PPGuSWQHBf+9+s/+HlbCOU7uz5zCNDwosr1hvBIiJktyqNIU5I81KPnF -UQWBHU2HXRQpeGHIT1P0WBUIVSrbTalQMCkntt/AsAo8X0tdOXWJgitcj/TC -g6vAu4T8x8GYgl70Sc2lGVUgUXvusqcNBVnIoUcm26rg1qCLuaEbBQtjbsTw -LVTBwyXW/XyBFPQ+qDh6nq8ajAS3PCkIp+AzuSpZX6Vq0AqpMReNoyAl8VXC -C6tqWFSzYPR+TMGd51no39+qhkte8xFpmRS01er2YyuoBiv2m9Mv8ylok8aw -oThYDQeZPxy6W05BoQsZsQ5r1fDKTvayTj0F49QLDz0WfgufjwYZTHdQsOT+ -wfft6m+h1aJYwWqQgrGKW2PXbr4F+5X3f0s/U1D4kKWedOJbqFmaTZmYoqC9 -1z4Rs8q30G40L7S4QEFrLq2NmNG3EL1/NPT9KuV/62sE+is1zckMBHqeHP80 -L40gPRf9/SQngXGd+iNC+ghbZzVmS3kJtCk6MnPZH+HF5V/dm/cQuPjNlzn0 -KcJvwej4M6IEynpKS5c0Iazp8h7XkSbwoK62+cQMQun0rTeKxwl0rpntvs1W -A2IzszvplAhMIX/3ythdAxf0zpikqdD6O+0Rrj5MaxciB++7QOA2Unj/wLka -KDMoIPnrEWhQLRo9Z1ADG/OdlgXXCPwl8leLxb4G1hbf76+zIHDmNTP3vsAa -yDfub8q3I/CwPMOgwt0a0NxWOe7nQmCuz6sE3bQa2PTvtqCQN4GWXhsXHEpq -oE/wvFtmIIH6Rzb+UVpqoM5zZpiZIJD04uXLxx9roGMjwFr1FoH/DdNfKp6r -gZ+4xGhxl0CCyvijg74WZEuM0CiRQBPS64hx3lqI//z83uHHNH1nNwv8O1AL -7PCFPPaUwEo+3qwdJ2shtW9TlHsWgWc2GiUPa9eC9hOunM+vCKTLEHl13qIW -BJKZpyQKCfzJJL3f3LMW6KiTSrqlBIqLjiX5RtTCJsXXuQaVBCbSKzPEPaoF -4SE7efkaApUe69hn59aCyUvWj0sNBAousrTW1tRCUVH8g7hWAhWZnYQ/9NXC -/nlmV/YuAu8OBXgtTtRCoeUNC/NeWn8b+Tr21VowZs9zujdI4FRhCqvI5jrY -N/klLv0DgdMVheon99UB3Z91auwIgQfIrhQ9mTpYA6aDxmO0/WT8WOKoVge8 -VcvJmyYI1FFdGCOM6kDduVci4huBJ5RfsyU71cEDvQfUqRkCjw34HCkOqYPE -rGsy4vMEcvQmmnbE18HAE8lfqj8JbFLcHPdfZh1cUeTgObdM4A3Okba1sjoQ -8Ptzd/cfAsc0WDm2d9SBoOmyw8BfAs8t3taV/lwH1uP0GU7/CAxlsk9RXayD -We7dJ8foQvE5kbpgylQPb8ZUjsgxhOIrp8Na3vz1UHs1kLBjCsX4Gv5XMZL1 -wOVWJ+vHEorXQoy5n5+uh5RDfCp2bKHIkP07CHXrwSXWv/A4RyhGKM3OD1nX -AzlpJnCUMxQXT51ymPeph4EzzlmOW0IRnv/3jfV2PTjcXZPu5wpFl8AJF6GU -ejhLecSzizsUSVVn1xQL68Fk5/mrZ7aForvtcszlhnoo1d/0R5knFNWCGMUd -h+rhsmzHiihvKP5dd2wmvtfD0bKMKxM0fO+blHPyej3ofrm9LWx7KHKcOb+z -ZGsDvM4hS23aEYrW9JXtnSINcGVPeIYpDT+VjgydkGuAU8ce+t+n4bcNecob -Gg00vlORl0/DVU2H2PhNG6BdffpMFg0LmuZ1HXFtAMm9ZR0B/zNfYV+0BtEA -isr5s0doOLY+Rc0yqQF+FlPTG2ny0+5x/fHPbgBTz90/FGk4SUgs815VA7iT -47ujaev1cB7TzOluANlPx1RrafrJ+Kh8bxhrgMzQdZ1+mv5Dpy8Qw0sNUOe/ -+KOJZh+rhj/bl1kbIeYtt+jDraFIZbmYtmV3IzBfMv6hSbOnGJua+IHDjSB5 -uFv70+ZQNGv57zmca4QNY2fli7T98FCVEDI0aISQweOdT9hD0ZvEk+Bq1wh5 -KeIznayheN0vne5WQCPcKlZ9OswcilJH3ts8i2kEbv7obx2Mofg5o7ip/Fkj -nG/91/x4E2380PF9vcU0eS2PTmrSztNis4nH9+ZGqOS1UHm3TqCet0TNpo+N -sJKnO6ZKO48Pxx4z75prhPEkp+33Vghs4CxWk6FvguCOwmFcInDglxtJi7cJ -GnSETnYsEtj2aOC11YEmeLOvUrrsB4EZ/0a+BJxoAkMIKSFo/mK9L4Yl4WIT -ZL1y7j1E86caGaVDOeZNcM3YZXvFOIF+TmrGDR5NcEDFmUuM5o+Rbdkxn8Kb -YKuNbY07zV/nLtq2/HrYBLy1puJPaf6cuxzMujm3CY4ZXDqfR/P3poZ5bdGa -JnAWhj1PaPFAtqzqsVJfE9SJH3zpRIsXf6ljcwYTTSBjxz23u43A3VxW6jf/ -NAF++TWV20hggrvSi3DOZrCN73+0t5aWL/66bUnd2wwSQQUM7lUExmTTBbw5 -1gzMzyJFMt8Q/8tPm4H+n8lqWRHNn72kbKcMm4E3QToyN5dAnoTWCTqnZlC2 -Wu2gvKDFl6Eap50hzRDtWN+ikE5gyJltf47GN8NiTmRA9xNafO2qvq2Z2Qye -BzTH1R4QqE2p329V1gxbPrIwp8YTqGAs0hDQ3gxNzbXDQ3cIDDQatk8YaYYH -sz5OC+EE7gxa2J670AyhFw4WTZMI5Gy63tLI2AIx/73La/In0EhWnDzC1wKF -JYQlxZPA9WbNM78PtsA8HuwUvEngKqmZaevpFtBk6Jp7bEvgp78q7eK6LSC2 -fUNn1ZyWjwbso85at8BClI7fif/JX5xyytd8WiDLGh1MaPlNPD17yT2qBTTS -tPeb0/Jfz/2GZ7eftMA75fVMVVUCv38PP59R0AK6Gu0/OE8T6J48P1FV3wJl -RWX0pXIEuubQkwYGW4CD1PjxzGGafIGKbXPfaPMVLgTlHiCwYnJnKvN6C3io -KU393UsgK4+Q6N6trXAbXghI8RPYmtydIS/SCtHJx7af3krgUqio4CW5Vggx -/TR0lJXAR+3CcXYarXCNkmnJQkdggWvzOsmkFYQ5Ykre/qbgcU8e64curTDw -O5F69QcFpQaZGwopreCpXv26d4KCD5Ke7WlLbAW6dYbrh0co6F7y1XXsRSv4 -bnfodR6gYPWxzurVylb4FPdjcwyt3vHfYsbI090KUu4J3LG0euilepKK5Fgr -2JUaDntUUFBj1CtYeakVYs1OuSkWUtDk3VqhMWsbZDhA55fnFByRlvzssasN -Mj9YLNinULBnlI75zqE2iM1LH+5PoGAHf69Uxtk2ePGMg034NgWNfy8aVem3 -gbjL0++6ZAqSgqyi+23bgIvPkmLtQ8Gzr0SaZ/zbwOzhJaqRM00fkgwLU0wb -7Fh27D9qRcHQ9fiLe561gZx0ccyMIQVZhc89Ol7cBtWnJTZuaVNQZO7M7MXm -Nsg72C7KTqtP31ncVbP50AYsCw83OdPq1/1B0lnBs23QEpeYWHSIgsxndnHe -p2uHBc63Ix9EKBjy0tA3n6cdKJY8I+P8FIysGv/eLNYOpPjEe32bKXjUu87q -i2I7jCarbKRvotl3aG5s5UI7vCLvE7r6m4xqXxzsuM3b4fMZiT8z02QsipX7 -JeHRDv4fzCJufCFj2ZRu+LnwdgjUrm2v6SfjlakqQeOH7TCZfKnpXwsZ79z1 -qfbIaYeKRk6fvdVkNBohW97BdlhqWRoWpvGFiv6PmzN72+FhFsdf1kwylrqH -YfV4O2SaaXf1PKDJLwv2GVxpB4GF6qtBd8jomtl8/AdHByybXrvPQSLjkRPm -qyx7O+BEpkh0oAcZf65uw33HOkDp3uJLqg0Z9zq9DFJU7QD9X6mWrNfIWBIt -JnfZsANCWiSLRS7S8PWIKQfHDkCupFThM2QUGutIIII7gKdtZD+TDI2v8P4+ -kRzXAX6/mVTaRcl4coXpY3FGB/y6z7HuSeNn47f/eHa+6QDi1Q+dTRxkXOvu -YZ1o6wCxY0WnPNZJGNgQk/RvuAM+SerVN/8goZ39EUG+hQ7IftD7dWOUhNVv -S1MPM3ZCpO+RlF39JPRuENqlztcJ5HanRYEmEqb5u8WaH6S1x0V8WX9DwhOj -mRu+Sp2Q3kaya8gm0dbz1i7uUif0ehtG3XxM47tY1Z5t1Ql8SVvU1u6Q0F8+ -VbzOuxOcxdMfOweTsOy6TciHW53wSXrH7ToXElorbKMuJneCcZYt719zEgbU -pglwFHTCj7j78nyXSbi6ynddpL4TkuYzf+1QJuF//7k9OTnYCXp18VqrMiQ8 -FVIwoPetE0RYzE7V7qf1b+1jcVrrBBZkaXbcTsL9jR9lQrm6YOPb3ek/TCRU -O651PVm4C/bFCPK8o/H/qZGMu8XHu4ByUIk+rDME2eqmGjrUu0A4O+DZam4I -5ozs2PjPuAsY2T/8VIgJwV7Zw6fXb3aByEXDFbgZgl4NRynbKbTx7st5XNoh -+DhasF06sQv2+BfzvZAOwbOxS/xqL7pg2Sr+GNvmEHToKHU0q+yCrUdiN47N -BOO2c5b13l1d4DKSGyzaHownFn7vvTvaBUwu0/lfsoPxv/c+5Oe/umBkVP2e -dWTw/95fdwO9fJN4oU0wllyV03sn0A16N61cm1SC8euMZ/28dDeMR+xzyBAO -xvjKJwpsZ7uhgljfrkUXjDX1BUVC+t00PrbqUTEchG5MeUdP2HYDvzBf6GJF -ED4jJZZc9u+GFw16aj/vB6H+cdvTjtHd4KVRUFHlGYSRIiIdxNNuIBVIjWrr -BqGiVqtZ8utuoP5pKc+WDsKbOUbLxU3dcFU0TKWTLQhFz/fHd77vhr0yFuTy -8UA03KUoOzHTDeIipq43awNxq0Tkh38b3eC05Ms9kxyIoWLidbfZqPBMUG+r -jG8g/jm6lZ+fhwoePFH8KnqBOC23djNjNxVG+/Yc3HsoEI2OzzQdEaPC9+tb -VBtYA/Gc9Je91YepkPjG2F7mawBmC77z01CkwvsPTPedqgMwiq2fxs+oUNHK -2eVyPwC/zfYdsbxABYVQ1y1KbgFY1z5E42tUMGQ/ativGYD86Z+n/a9TgdtE -+9Xx/QH43WNak8WeCrZ+LSwW6/4od/rvy3vuVLhumep8ZdAf1+i5OPcFUoGO -r+/T9nx/PItiN3PCqCB138ogNcIfGbzP9SjcpcLq2KWhxeu0djHL440PqGC5 -+shyq4I/rnaHPdJNo4LN8Nnfv7n88bBHLt3IKyqw31FNzJ70w1Gu97YOJVTQ -ZM46JYp+uCWLlbr8lgqiF6xmHZL8sOz4KUWihQpppkHP/Z398NNbj3SuXiq8 -OfHLQV/FD4PP5m9J/kgFx6/N8isCfvioes5ffJwKdVdXNtss+OIxmWNTxXNU -yL0fNvuk2Rc10vyunF2h2SfdZTD1iS8e4k2r6KTvgaKZ8RonD1+Uj49ku8bR -A54TfJlMGr5otVtaeYK3B7ximQgHQV98XXTX1l2wB958z7v2+KcPihoUeP87 -0ANnl3ikHrT44FuGu65RR3uAs0D2t/kTH/R7K2mw42QP8O/irlpy88GrYeH7 -01R6wFEu2/+Kmg9eM0wdkdbugX/068cIAR8ky3sT5Vd7YCCIczxgzhvbhDl4 -VC16YCF7KPZMnTcqCJhFUx16QDvcTK4n0Rsb97gsGnv2AD3PswFpe28MkD59 -bjKoB77qpN68csob9TTaA90jeoBVyYhei8sbtd12pK3H9oDvh65ojjEvdMnc -WxT5qAf2i9PxPij2wqLxiRyejB7gFZu9Nx/uhTuPOcWn5PaAZn/i5u1GXpgZ -lW8p8aYH3h5bJTFJeqH+j4I9xTU94HNWYK52zRMPmLvWn27rAXe6ZX3NLk/c -Mzyr19rXA8Uu0SUpqZ4obyPRrTfcA3J3v3Chqyd6/hU+PjLRA6tmP6xenfXE -geQPYXbzPcD8ubzQapsnnki7y/3zTw+UFy5rTox5IMmKLB7M0At+fibko689 -MOtLfhvb5l7QlZvWVyU8sGDnrpXEHb0gM5XWKKTngclb6guE9/WC8F2ir0nY -A+3x+a98iV44IBkdLLvojvxSrfVKMr1wvAqbHGrd8ZX+AcGOU71gcG5Xjn2s -Owoq1jEYq/VCVHXqoaPX3dHr/UPP7zq90CZ9UbtWmtb/eJ6rv1Ev7LwnwiGw -5oYNFzd+s1v1QuCs8I2TbW5YJRTNnuzUC3RKWiaiD9zwUeHVF9LevRBMTp4f -uuGGhutmPRjSC9yVO4T1ZNxwhTE97PKtXsj/Vj6fROeGgS0ijePxvWC6Odwk -vdMVP58fveuX3AsiYgE3fB+5ohjxcXxzVi8syDzk2GHrijr+25rT8nuhS+6L -dqCMK16TDpdRKO8Ff9OnZlc2XFAtWU6yq64XAuR7jVTvuyBvs0iedUcvJPw1 -uNt4yAWbci9W/R2gjc+W3BhsvIlXLhUb3PvcC4fO6WX7mdzE9ryr/pLfeuF5 -Q0d07qIzmhdJnK9b7AXifVZUTKQz6qjsfm+w1gvsEvNBCoLOmGx9+MgEUx9I -vX5h++q1E6rxWF3w4OqDBR/q+Ul1J9S69EZ6nb8PHH1sBWeGHTF/l1Q/IdwH -/oXO0+XujujiVQssUn0gKfY17xKLI94x9XGNON4HIQPNdgWPHZCp/6INI/QB -BXftfH/YAT+9UxEKVu8Dwf9GsK3eHrc4mTz7pdsHZsrcpmFX7TElOmHC1rgP -tIaL5lmn7f73/qAP6Irq/Q2C7ZAaYFOjcpO23lrlvw7cduijTmeQ59MHa+wy -7hoZtuifXpHHS+4Dt7txn+flbPH9ncROn6g+iNW+rmzRaoNJjHGFQ/f64IJ6 -8pNHxjaYx557TfZJH2QHqs8lz95AkdRvLdFZfVA8bXXcLuQGLteqrX3N7wOT -xDn3ta03UMyj4ZdceR9k+U1lGaVZY0GRZVF4HQ0/0O0JkbHGhySh433tfaCw -KLF4s8EKRz7RBe0e6IOb4W5sBwyskNRMF2U50geXDMT5XoxborXLtEPBZB/U -bIk9cMXLEp0Zbh/iXuiDMsvvaokMlviY+NwfutoHirryXjdiLfDHjylTDsZ+ -0PzgXdS0ywLt1Z+3Zmzuh+H1F//KMs2RPVqAX5evH1YqO41OHTLHzupzGluF -+kFdoi3imcN1LP+w13TsYD+kco34zXiZYevXQr1m2X5gkxy6ZRRsiv/erUjh -6X54GVDyZoowQaOKX1Ot6v0gR+/H8CjcGD9GZEZMXu6HvkohZ+fwa7T4zsHG -b9oPdDn5vywJI9ScFHU2tu0HpAo/8g8yRCW/pdeFbv0QdzDAtNjzKur/9hsW -COyHyPKy01vtr2C8xetvSeH94B/0USnmmgEuvXn2TjSuH0jeX68d0tDHwFWV -l82P++FVBjVxXlYPpSSemAVm9UMvS/pc/57LSKfyckm5sB/Yn1678ZFBF/9o -OrkIVvVD/4e5nyxdOsh/+ms7RzNN3udFwycxF9F0DxcHZ28/XNeu/eOtoYVN -Uz+k9g73Q8WBa4MJ/9TxytOwo6pT/TDoUD23nKuGZ5SdkpR+9sPZ4NDauRsq -WEZL7c3r/SDaot7ZKXsOK9XpVr+yDECmOvu2BIEzqP0qdyWOewC+/ovbSxw6 -hU4r5wd6BAbgxnu26BwDBdx5pPtuusgA7BEKdMp4KYt6l5XFWaQGwGVgqtpB -+ijuup6RsiYzANdmUzdtVEqiy5XFReLkAEixWzk3+oqiroK06JNzA/CITs5X -V3gv1jIaHFfXGIDwp3eHddl58W2lvUiYzgBETWdu59djQDVTxx96BgPw//7f -aTn5fwAY8iAz +1:eJxdenk4Vl/UtjHJWDTQpBSlTBWiWFEhY0QZknme53l8PEMUMiVJP0KSecrY +Ms/jg5SpiBQplVQk3/N+3/vXd/4457qvs89ae629973Xva9zyMJV15qBjo5O +nnb7n+f/f70uYdvie04G3KbZ1aJxK0r7mPfW71aFC7oCmZJ5fNjreNuV8YUu +HKHmrGd8FcTkf+w31QcMwf+0im5I6Akcrt5/ffy5GeTk+C1cb5LEG9bbijNz +rCA7lqqYsimFH8N7agNf20GfnqQ4wVcOI7ms/G0UnWH/amLpbhHAA2Q7r5Jl +N6BW/5vLY1PCvy9ZiWdvekK2TUV9+uNLaFuU5KL63hsSwl3M5q+qoIEBu+Q0 +0Q+St4n0RR5Uw85q5x6GS4GQTf9xiy+bJrrzeh2+wxEC/g49Q/for2LIsNM2 +MfswuOafLOQXoIO15/p1ZDvDwVqUGuiyrItzYD4/L0SAHFLokJelHm6ZPtwt +GxEJB4jpotFD+sh3kHdDbJwIncfEo0ou3ED+tTPB7ZJkyHSX/DSfZ4DbPYjq +jCQKVJhmq4ntMMLfJGa7mdHb8Pt7VGGkjzEOnq2gWglHg/OJGd7F0ZuYRk6M +jvK6AztZnwebS9/CW55P4q/hXViNnvs4f88Ut/2dnkeWWKAfUz0B3mY4wp3b +Oi8UBxkNNyx3CJijwHcfhcaOOJj0f5zm3GmOHzJMbjnZ34NyZoHRq+4WKCBw +8+inrfEgYPVmR91uS3zl5JEg8zQejiV1axfVWeIWyn+5Ny4lQE/K6l0RMyss +dP9gdeVdAmx1NeoVZbBG6vFLHeyBiTC1+xdHdaY12hVXUzN4k0AtoUe7R9EG +g5hVIrcWJIHu9Fi87Vsb3Hb888SFS8mwSn94NCrQFjn2PptQG0sGsZ8Z+6R3 +2eGd8WCCkOt9YKo1srQvssOOBv+lSMYUMP5ltqVcxR53HMqX3p2SAie1Os2y +39qj6V9e08mTD8AaEzzkfR2wUrfcZrTxAfCqN5wL4nREriNRmsw3UkHsm1ad +ebYj+rgl89h+TgUsgZWlc044JfOm6m/4Q6i7E/9egOqEegG68m2700AsQiVq +zdYZe2QYMpoL0oA9/tbHgA1n1HH7+H7l4iOwqBvdyIh3wdeCzFuMxh7BYfqy +LldhV3TUNWD54ZYOBmbLWrO1rsj6f8fnMdAtqbRuCrthrkDm04b0x7DtRcfL +d+5ueMxM48ka/3+gFbPGk1TrhmFLFjOFNv9Bp8dkyz5md7xZxbs9uPQ/CLFy +H/TVcseaBnNx63//gb1lpWzOfXdM36Imb6uWAXGu+RtP37kjU1SvHCE5Az5T +ru0PPu6By2qfhatnMiCy6HnKUQ8PNLuSw8Qqngm678tdM2o88CpxfcAjMBMM +jrhmLjN4Yue/xTur7ZmQ6jEmwaPuiU0VvmcTeZ8Ad98qP2uCJyrmpQ+pmz+B +JukWM+qYJ+rfWxflLHwCw10+X40Oe+EegeO7e9aegE+uUnmMvRfevi4cS1TJ +gpx+4SLnYi/MOrOaJJeYBZYXhN79WvVC15qkE4vvsqB4TV5FVN4bZ+aZr94X +zQbiP5dZDoI3stQrM0JANiyrV7940OGNr2QNLs+0ZcPszL7GAQ4fvHZLblc4 +Tw7YNj+gK9P1wUCRJe+9ZjnguyTuc+G+D+qku1mV5OfATvO3h/zHfWj80zKt +9CcHlA7kMpoc9EWW4E9L/ZefAt3RqL2fLXzx3fwUxSD+KSh7E+2FcnzRYS2r +dGLqKeznSl1i++SL6eXnHG+eyIWQ7+1P0074YcSuJ6WjvrngfpAr5bWzH3IL +jFO0WnJhOcn1JRb5ocbg+6UG7mfwT/8Tv+43PzwjUj8tZvIMUkyDCmJP+WOn +iK1VyrNn0FR6zMfP0x+3DU17b/x8Br7aX7zYy/1x7bDorltKeVAv1ftMfcUf +k/mvXK6JyYM461Ze6TMBOFUtxbhjPA+Wp15VdHsG4BDdD21r4efwNoP+LntZ +AOqc/dJS6fkcqi38En5/C0CZZ4nrWxuew/SIc1OsRCBGXPvww5g9H/TWp/mo +LoF4RuZ9QZFBPmwfGn6A+YGorhd9nCk7H/aaKCsZLARiW96UjeG3fHB5IM2T +JhyEeXKT1kXyBcBFyWaPtgrC74xkoS1RBfDnWILY0YwgzNgykWvyqgAkgjb9 +HCaDsEJp4nPF4UIoCV9YNOILxlNV5O+croUQJqtHXtULxl22U9V2tYXw4Ml5 +dfm4YLTQfqfUzFIE9A1PZMS7g5HT/e7dA3pFUBxzW6OHOQQFe+YeBPxXBAVc +X27zXgjBNLNPtqOfi+CH0uBXloAQVNjdE5i6rxgij50OySkLwXf8/lmdSsVg +1sAjtfw5BGVq10X/2BXDnW2evHNHQ1Hx97U9x2OLgY5FZx/xVij+HQy3NKwo +hoaKAo2B5FB0UiVyRY0XQ8+u29ltfaF41/jWvlr6EuBOcuc5wxiGVmwcUZ+F +S2Dml4nd2IEwXLxxz+CAVgm8Lrutc10uDIUVF8lXvUrgZ8dK31P9MAwwXZ6K +SC0BSStewT63MFRV9zlS0VAClFKTuI7oMExkCjaY/1ACf8oGdt3PCUPDaKZA +Po5SINg5V8o2hmH6W/Y76qdL4djAadfi8TC8RZ8cHWxYCh8XBBXXf4Zh6vJj +/6LQUmiskj/Jzx2OOgUi16ezS6FcNlyCQyQcyTLSh3h6SqHZ+Yvm8MVwlI5t +Grv0vRQW9YiRribhaFHeH+GzpwxOfr08POUTjtuemvDlKpQB5byE/OG4cDxl +65T+xqoM6OQvoeyzcBxaWd/OFl0G95bDjY42heOyNrvX+ZIyOH/jE+fcWDhG ++T1qcR4tAwb3gAm/H+GY7lLE+HijDN7JSzW/Y4tAiVNKkgOC5TBWz9e870gE +nm/W16RXK4elZeGJk+cjsP3Qwo1TbuWwd9SUc7teBPZobl61TC4HC7dGw07H +CNRSSTqbWFcOLXUaL/UJEajBmc/ZOlMOF+roz1emRmBb5sWhn1sr4JXLO+pC +SQTWMd0kCYlXAGn4Y8SPDpr/Mz+Fb+hXgO4Sv8bA2wiMT5huIQdWwLLZ+IHw +1Qg0JP6wr8qogIOjMYvMHAQksJ7Y/am9Aia0pUpvCRKQV4DSw/elAkS6+zyi +ZQnI2rktSo23EpivGIve0SagKVOZdqBcJbh1jk2bWRNwHzVsf75ZJThqaMax +BhJQ/pTXjwlSJawMlp4lxRHw5ZG7gxwFlcBuxDb+KpuAT591v1AYqoTy2eu+ +6zUEXGkQz3H9UwkL7kkcK/0EzHaoTvvv4Asopm9/1DBLwNIcu4eDl18AfeKi +sPkfAop4y2cyOL2A98cY80c4InHLK5mS0/Ev4HoDh8iBw5Go0nmjw6rqBRgY +sWXKSUfiotaj+aSpF/BpdZ3nhFok/rTZytXOVAWsyW9Dv5pEouX2Bwq/Raqg +WqZyjuweiVJa2j7Hdarg13jo5ZXISHTYf6LSyLcKWiLOPz6TEokMoSf/RT+q +AoGTn7+rPo9EOs9rWvXNVcD+OuaC5MtINP/zOOfLpyogkY5QlgYiUWjHDhYB +7mqIkynoDH4fibr1z1x1pKtBZPEY88zPSKz2630VcbMajIXfmfBvJaJM0/tT +5RHVIOGx95cQPxEHHnwmzOVWw7PusQGWk0QM35zv3NVfDa2nDyzWyRNR9ccI +k+rPaojMm7usrE2k5afyjP/eGvgkLjn9xIyIgsTbhnmKNfCtma5+xJ2I4oLa +nuO2NZBqo/dmPIKIN7VZIthjamBh90npygQiprOWEuXLa2BilDxklUXE3zra +IS5jNeCeY1syX05Eu2NvHR/T1UIeobtfoZWI7++Yaw0I1UK4a5mYwwgRSaGv +jtJr1gK9w8E++zkiAp3Cd0nPWjjiyVUo/5OI3FwpZRYPamExitA3x0TCf89n +7ROwFgxKAsUteGn4leDOlrlasPrwa6BUkIR74vQrVtjqYJfI79LRUyTUGPVX +O3qqDpyDgkYGFEmYnB83rG9QB9aTkWfTr5LwN1fqNVJIHdBrbp8AUxJ60ye3 +V2bVgVL3oYYKZxKyhEdKzHfVwRGDytnNQBKWxFjH7P5WB/k/+q4ciCKhj4js +tMruenj1yPErdwoJM6TrzvjJ10PEnT/HX2eTMCLpeWKuZT3c+Tmn6VVGQj4V +Fvo3t+vh22sJ47kGEtqq9/uzFtdDhfSYzok+EtpkMm7KvqqHYc4ZSeVxEh7S +yIpz+FsPeuaq61IfSXhPtUTs4eGXICnGUbi2QsKK+yJvulVfgoO3hFoiPRnj +ZLnj/rq8BDqZ0n4GTjIeFrO4Jpr0ElY94hWU+clo7y0geKv2JagdH0y+JURG +Ky71zZjpl/Db0OW1+iny/9bXCPTMDoycCmT0Ojc3sSyK4CjSsjv7Chnv9epN +HdJDONUVtHO7PhltSiU+6wYgGMwm/NUxI+P3T35bIv9DmPBj7bV1JOMZL1HR +ijaEOvIkSdeHjCI6WmYfPiOscXOL7Agno3PDUn80awPc582ozIkmY3r4gnfW +vgaIjY87yZ1Ma++0/3C9eAPMRk1Fa/1Hxh1hpOERpQZI2YgaNs8jo3790btf +9BsgbyFpi1o5GVcE19VZ7BuAX3fzEMtLMn4u27JdIKgBPpxuF3rQTkZxGcZX +Z2MbQNZr2Y9ukIwFvs8TdTIb4Pyv4CD5MTJaeG9qOFQ0wGKdq+TV92TUk9j8 +F9HRAJqtrffPfiZjWG5e3sPxBrjFHVa0ukLG2Un6q+VfGmB/erpf1AYZCQNM +X3voGyHa5dCPH8wUvBlWRp7jbYRn4VsPnuakoPMSB/8/4UbwGb32V2UXBWt3 +8+bsOtcIK66M0acOUPDCZusJca1GOKC+u/v7UQrSZQk+VzFvhD92sXUUUQr+ +YBY9YubVCBGdjqYrZyh47OhMsh+5EWqc8sukzlMwif4i473URniiq1OtcZGC +8g+17Z8VNIJcoJGbrBoFD3xn6WxsaATCh/bX61cpKLvF6fDYUCP4Jz74nnCD +grGjgd7fP9D8E/vr6W/R2tvING1bawSvKnu5y1YU/FiSvlWQown8xezsbjlQ +cLGmRPWcQBOcnO9S0XSjoHC4W8S1000QN3Pv9XYfCqYzjVc4KjdBpkA9f34g +BbUvf5shGDaBVYYG575wCspdLGNNc2qCEasLZeYkCp4a8ZUoD22Cn518wcHR +FGSjJpn0xDdBq7jRW9c4CrbJctybzW6Cy8n0Y2eTKGjNPtX1t6oJvDe4nF8/ +oODMla1sO3ua4Jo1MUUrnYJK36N1RN82wXSv+c2HmRSMZLZPv/y9CQ7JZFe9 +zKHgU8LjbybMzcCVoV1QlUfB507i6j57miGfzVzudiEF4xv2PI850Qx/fN6Y +SpRS0CjUePtThWb4PVO1v7CCgozPfgWjTjNkaW96MlRTkCy/tDxq1Qx09fkW +onUU/H7+vMOybzOwn2j8JIYUhKezn7ZGN0Png/OsLE0UdA364HoovRlOsx5s +rGihYFid4l/Zkma46m+3/Vw7BT1sV2N0W5qBf2HPWnInBZWDmY45jjZDkrFE +YGc3Bdc3HNsJC83wsrcwkdpLwYRPJ53TNpoh9UKicmk/LX8XVPgquFvgSPm7 +OLtBClrR13b3CrbAzWOJnj+pFPxPlBL5QboFlB8VfjEYpuDLlsKLm1da4O0O +CYaEEQrWtYmx7jFpAeHbewqfvKLNB5PCPgm3FmjoZ7WJHqXZKxm6e4XQApcr +sx+pvaZgXHO6skVyC9Sc/6w3TcOZCVx/Ap61wAHLyWjtNxRMPiSUnVDXAi7H +/ZWSaNjTeUYtv78FCmPRr5SGT/teWmiZaYGJpGKxpzQ8qqBBmPzZAn/OaVh5 +0rBly5+dq1tbgTkinm83DQ+waGZy7muFDVuCVjzNnxCr8jFh8VaYWzrAOEfr +362O2aeg1Ap1PNYyXDTsefn4IQP9Vgh/o7/ITYvHJ4wn0c2uFaTg24EFWvym +/k/obge2wqtLMtTUIQqelHhjkxHTCtYLwsyCtHy9zSpvq86g2RdvfhExQPt+ +VEqAWt4KujtZF6r7aOPdftNzob0VClP/POzooeA1n+MNDOOtsIqJvYVdFHww +83DL3i+tIEYcC3HqoGALe7nyafo2MFjoKqJvo+DIinuYOm8bOH21NnNppmBX +6kiZpXAbuCY+jyttoGDWv6l3gXJtYPHm4YW+elr+BWJYEjXbQOmltFtjDQUb +TsuL5Zu1AYvZWMydFxT0d1I2bvFsg8zxWTaJcgpSup7FTJDaYJfk9fnnxRT8 +omnbsfKgDczNxA7RFVCwYDVkK0dBG0TaO1effEZbby3LWkcb2iBMg6dYMpuC +Z6rqHsoPtYEOqwAzVwZt/g3MfNH/0Ab/HidUtaRRcB+XparLnzYI53bp0k2h +YKKHfC6JvR0mjIrkqhMoaLPuzvn4YDtwhd5g/R1DwZhndIEvTrXD3mAbaa4o +yv/q03ag059o/BdJW8/eJ20/GrRDzZbq522hFORJ7PxA59QOWvF/f9gE0Phl +tMGJL7Qdqn7lpL71omDohR1/JOPbYV266rGEK41f++qj1bLbYddVCUYjewpq +RTQfsaxqh60XuVqNLSl41liwJbC7HYa49OekTCgYZDhpnzjVDj6V9FYfr1OQ +L/jbzoJv7bAsvVPRk8aX7G2mHa1MHaB0Lzbo1RUKGp45Fj61uwOcW9127aDx +7Ua72oVfIh3gNlTFKUTj47WwdmZuhQ5QrbW13iFFwYn1S93HdDrg/Mg34iiN +z8VH7KMUrTogxr973FuIgivs0heNfDtAKnfFZom2Hxx78uynR1QHyJs7Cyvs +puDg/ZaM6EcdkJ4ltd+Oi4ILCySVrOIOMPTQVHVkofFF2vKHuuYOcO8qz1XZ +JKNbPn3YyKsOmMt3VNhYJeMEf82OL586oIzPg/XOFzLWzPM93rLRARPcHawr +c2TcynPo6EHuTrCIdwapSTJ2pvVnyQh2woV0izztYTL+jDx64Kp0J4Sdeap2 +sZuMqd2H79ld6YRd+ucEuJvIWOzWvhF2sxNY6Q6eqKwio5QXj9UD1064LqXr +KFVExpOvtrSURHTCv5Xhd3ezyZiSnLG/K6kTGOBpND4ko0fFe7eZ3E4w5el0 +7LpHxvpTvfVrtZ2w10MuvJBMxgDOW0w8/Z1wyni92zGYjHmqyZdOzHRCZh+b +BoMnGa9Me4dc/NkJLj2O9B52ZLz5+m+J8dYuSNTb+7nGhIxToifeeu7tgj22 +/OyTumQcnKbbckesC1YY7c1fq5CxZw/1ZJZiFyh6sKoWnCej8a/vhnV6XcD1 +Z2PvTUna/h9seXfYtguMU5QnZ4+SUfG5YPvngC44fvV9tAqtvkoJO83CHNMF +vgLDwpG0+ityI15zf0YXKLPylaQy0PJ7WClVqrwLkraVCkevklDwy4UlzfYu +sDnyOFp/gYSvzWOVbca6oPL6u4k/kyQ8EiyaE7LUBaQM771+gyTccmEv+326 +bnjNZKoy0ELC0DwDvyKebsgNSzNjqCIhpW5uoV2oG+h2itlyPiehpE+T5TvZ +bhhs5DFeeURCj9EvM781ukGIrHm+PI6Eyu8c7LabdcOa1fg2LQIJS+OkV457 +dsNV4/q2Rm8SVn3UISmRuuGIww9XLjsSXv9Yd8D4QTf43wveImNEwjuxvvWe ++d2gPWgcdVaDhIZT4RZ3sBuyj8es8yiQsGZ4nCOb2g1BqbxGneIkrPQgYv1c +NwwJfs02OETzXxXi++p3NxS07n/buIOEbtntUl/ZeoAp7D9mZlq9LyFntsZy +sAcmdH35DtP0wI+1HShwqgc40m29+D4Q8aBTXrDs5R5waJR2W3xFxIq7QtK6 +Bj3wo26WPaWdhk3JHx0ceyA/zl3tYBURD830JBJCeiD2/OSx8FyaXuH9JZd2 +rwf+axDOrU8h4rnfzOPlWTR/+3Q6hilEnIv+49X7ogcu6xjebvMj4t/+wa0f +unpg0uTCYrwdEYNaYpL/TfZAhtKWL7IGNL1jL3Fg97ceSNjMu1erQov1ZeVj +caZeKE4Ue7Vbhog+LYf2qu7uBTqGe7VaQkTMDHCPMxPpBT8VqqLZTiLKTWdv ++sn3wj7r73YaTP/Tn5d29672wpLRNwmeH5GYjXXdzyxp+Fj/g/LpSAyQeXys +yacXdvdHP5Gg6ccqU5vQsdu94KEprEWm6UurszsGvqf1wuqTjAdV+ZEY2JjJ +z1bcC8+oa8SW1EhcW9ttKtjcC+Q3p3ieUyJxdtb90blXvZBYdUXRyScSz4cW +j1z71As9rsC91YrWvnOIxelvL5ze5AkL0YnEI63jpyO5+qDFpj2uXyESlaXU +TdMO90FdUShl/UQkfpzKii2X6gPt9xYFDHyRyNr0saVHtQ9C6N03Z5kjMX9q +1+ascR+ob8sLyfxOQOoZcYUNlz4o2+A4ce4tAb1bJCN2RvRBzXgaU1E3AR/e +PdAtmtQHxlnX2f69IKBi3M89yrl9cP/GOTiWRUCHnkrHW7V94P5T7T/ROALu +ULJo9unrg8VgohhnEAHlvv06GDvdB3+XFz512hJw9o1v+NOVPsjXCqSaXSP8 +7/l1P2wmS3+kKhCw4ob0tdf8/TDfue+EgAgB33/2al4W7QeHedGHqjsJGF/7 +6CyrYj/c+Wp/VoOOgA3NxaWH9PpBdWaA4cRiBLozF0rK2fZDBlqszY5EYEZY +UoVuQD+kkA8eDGiIQD0pWwXHu/1wXI7FfSEvAimCgj2E//rhxijfslRSBMqq +d95KK+uHI6Y30m6GRqBLvuFqeVs/RA2i9y37CDyqMhzf+6YfYsSuBZ27FoEG +e2XPfPjcD2I+24t/nI9A7uOUsX+b/WCXu8ZNForASKFjTdGsA5CAV8Z/ckXg +H0nuPXt4BoC+Ulb9wp9wXJT+65K1bwAKorvMbGbC0VDqc5uE0AA8vPB3r113 +OCqJvjtYLz4APcN9QZfLw/HZgdf+V2QHQF5VifAvLRyjWIdp+mwAllKviycQ +w/HT0pCEhcYAvOthC2d0Ccem7lGaXhuAXVPmftrXw3HPk7eLAaYDEN1nsNNX +IRwXPBfVWOwHQDF9+UaAUDhKK6znJXjQ7Gsev2DIGY5/6bnYBYIGIHScoY9n +NQwVUcglnzgAf1SD6fMmw5DRR2nwbOwAvEhKGdvbSnsvZCHVmjIAZY16hvb5 +YbjWT0zVyRyA5Z6S4PiEMBT3LKCbej4A7i+KVO4HhOE01xtbh4oBOBWu9cLX +PAw5c7YOrL6kYZGYntOqYVgldV6W0DEAvqUu5G6xMJx46fmEizoAa3zL8wo7 +wzBEsYgzbXwAwhqPTOz9FYqp9V8Cjs0NQCLH89PuzaF46vSpj+VfBuDwHs9X +wbGheCXT/7ri7wE4PR3UomgcimK8mTW99IOgSy2crBcKRZl4CqsR2yDs0P9K +/+NbCFruE734gXcQrO0VJKfrQrCsNNbW48Ag6HGlOVLIIXhUv9jnn/AgTCtv +LfmoE4IvGWPdoiQHgZmbQM+wLwT9X57Q33VuEJod2E2G54LxBpF0JPPSIBy8 +kdVsWhSMRgaPp0S1BmH7iJpUll8whsv4EKpvDMLj2c2SDMVg7DrMxnPZfBC6 +ic2yBtuC8Sz/rbsDDoNwpyqpp50ahK37Xb8bew0CXbCPw3JqEAaKKijNBw/C +KtWah2oRhNeudAd5kAeBUmPZ7iQShFruuzI34gah9rQbqeFbILpmHyylpA4C +QSH6am9VIJbOfcjnyRqE92PlRxNCA5HvlFN8egENb11i3qEciNlRRRbHXwyC +b8fpb1fYA1Hva/H+8oZByOW7PS9HDUBhM7dmha5BcP/7+ePs/QDcP7l0rXNo +EMbcTH+qmASgjM3x/muTNOzzjt3qcAB6rR+WmvowCB6cbuKy8/44kjZGtFse +hDwZTpP25/4olxm7/cefQdD6tsTA7uaPYZbhx0IYqSAlpxTDdMYfc94VdbFy +UMFxB9tm8S8/LObb+ztpFxUm/FX1t9f6YRpnc/FhASrEua3FHQ3xQ3t8ulJ0 +nAoxqwKlSxf8cM/Jzmb501ToZqursmf0w+d6wgd6zlNBs7g760GrLx6QbWI0 +VqYC/6y2TzDZF73fPPBa0KbC0aeqojuv+OJzqUK3AEMqeP2p6DTb5ostmpu/ +tllSgXP8vrpZtw/WHbq7Lc2JCnQaX0p57vhgasmNXFEfKuzTqN4M0PBBg41b +gxhKBcL4b8lkdh/8zfSEqHubCsfX8q9Y93hjUIdg61w8Fbbnjih/jPbGtyrT +sf5pVNCY8zh+UN0bhQjjcxw5VMCSyG+s27xRO2BHe2YRFXw42B7ndnihkSjp +9NlqKnj8Zj61TvJC5TTpE31NVKjy8M6jv+yFvO2ChVY9VDgfZLi1jsEL2wo0 +69ZHqMC8s1RdpMETr18t1094S4U98qFeGsGe2F14I+DEJyo4rDREHJbzRLPS +4ypN36nw/fT82ZerHqh9ad8b/b9UyF4xN5Qs88A0K3GJD8xD4CstORvo6oHK +PJYanlxD4PpH782jEx6ofvWF6MaeIUiR7z17f94di/aeHCYcHoJJhlQGuyfu +6OrdCCwnh0D5Sq00t6k73jHxdSNLDcEIt+RILL87Mg9r2jDBEMQZrk9+GHHD +ideXDoWoDoGjIL/OzntuyOl0M2NFZwicXO6K7dVww/S7iR9sjYfgvpy+z+8t +bv97fjAEm1zvrxoRXHEg0KbhkssQvM9vue3x2wV9Ven0C32HgFdD+76sswsG +PKkp5A0fgpilCP+iaWd8cyep1zdqCMzumUoM6ztjMtO9ktGEIfCQmat92umE +hdsKjM48GoK+tzz7ROSdUPDxp467OUMQEbV41bjYEVcblf++LxoCHxkno3OC +jijk2bIiXT0ElR+SznYlOWBxqUUpqWkIDFLsFlm2OuCDsENSQ91DIK4x67Hi +b49TE3TB+0aGQIeBtT920Q7D2umiLKaGoKvm1eabm3Zo5broUDxPyz+PsBxL +ry06M0aLbf82BIZ5Q6Xq52zxIeHtcOTaELiETtg/fWqDX79+NGFjGobmeEVT +/h02aK/6tDOLYxgUZxkSsgKscdtd/j06u4dhxVOAWXnaCnvrla5wHxqGOcXU +in+XrbB67KDJjMgwbGq6ZfXlWmLn+5Jr7WeGQTslc7CC1RL/vf59EhWG4bOA +pHy5nQUa1qx87FQdhsz5vfOdreY4Ts4mz+sOQ8xni/5VAXMav7Ox7jEZBjqJ +LatyAWaoNn/U2dh2GPIs2CpPPjFFef+fZSXuw1A33fR3u9Yt1PvlP8kfNAxX +J081HP15E+PNyz4lk4ZBQt+Yzi7FGH++yHh99N4wBF05X/9axgiD1i7ltT8c +BrWakVXfIQM8efzRraCcYbB+Klxw2fEG0l3K+3mxZBg+cp96p7ipj3/UnFwP +1A3Dj9WVWKdYPdyj8L6brX0YLhu61bXuvYYm+7nY2KnDwHA2y1ozSwfbPn49 +eXByGGwS4qIZj1/F6/8RJS9/HIbZEYebA9c08cJFp2T5H8OAq5/Z7pupYdUA +vGnfGAZgcE1ej1LBWlW6tfcsI3D/9/L6BvUSaj0v+H1v+wjcfONxPv2sEjr9 +VhkZ5B8B4pVPRVW+gHwS/bFPBEdgs+Jzmlu1HF7TvXiM5eQIXP/1FaykpXGv +aVb639MjsMrxIyXhiyS6Xv/+nXBuBExXFrU3mk+gzlnRo4+URqDU59sbtUNH +sJFJX0r1ygiIqCw9PrjAhy9r7QWJ2iNgtLzULrGxFZVNHL9e0x+B//f3zkTt +/wEEjD5F "]]}, { Hue[0.1421359549995791, 0.6, 0.6], Directive[ @@ -365362,202 +365363,202 @@ aTn5fwAY8iAz AbsoluteThickness[1.6], Thickness[0.01]], LineBox[CompressedData[" -1:eJxdumVUVt8TNgzSJShIWcRPFARFkFJhBJGwEVCRUkBaQrq7475vBERElFRS -umHo7hClBJSwUAmllOd+3+f/6TkfzlnXOrP3nj2z1jXX7LX5jWxvPthFQUEh -T379f9//93lXyETrclYGKr5cuW40tAelne/31HKpwScp65UHngLYYxVmS1V+ -E8wVNYwPVYhi/D9mvcv9OrDZlX32Ya8kDlcevDWecw++JNVwXY2Tw9sPGAtS -M03gAvtUS34B4KJfd7XHO3OIeUInqM52AQNZTdxMFR+CyvrRbo9HKngoxNyx -8KcdtB+/YfDjnzpu1zEEyeo5AK2E7/u00qto9ibORu2jE4TRTCRf6bqBd+4w -n5oJcgX7cY+Whf6b2FH5sHuXsgeUJL64l/ZVC+05HAUiWbxhyUfA7fXu2+g9 -bM14wsIX8ts+cPGJ6WD12T4NuQ4/+Gs/eo5RVRfn4P7CglAA9HgzLJrq6SPt -jECXnH8gCC858Ug/NESewxx/T4wHAd296MXqmnvIu3naq+1UCMhtetPF19/H -PY+CLlMFh0JJS6SFcKMRrgfTmM+OhoFNY/lu5wZjHJAtHTQ5GgE2P3d+e9SZ -YFJIbES4YyQUXH8gcLbyARo4pMVoYhScmV4gFheaIuP2zALSEYAyNURp7pUZ -jrC9blkQIgJLnLJM/zNz5Ft2VmhoJ8K9ykO2jlEWOJ+ib2BtQYL13ZxfBjwt -kY9P78hn+hjoiRN5vWhhhW+tHz2WeRUDX6/qZVVoWSNt6MvXt5UfwzWZnO+K -8g8x337eRH36MaxocDkFCtrgoLByO7NHLEw9fwm+dLZoXlA5mMIRBzT7Va9K -fbZFTxrVQPq8OKgyoenTMLFDRuFvE+eV4yFueC1hbtAOWfZnTVwai4fdk47U -t87bY+S4V4CQ7RMQ9fbfk5Zrj+31bt8DqRJgy0rI4Az3I9zLnyvNlZAAsXfN -Q8MCHqHhNofhpOhT+KGuRiQuPcKymyWmow1PYe+ZVqfrOg7I+l/4VZrbifBH -ZFa2tckBne3i2c2+JULmgaT3q2KOOCXzvmLb7xlwsq7eHn/iiFruN+VbuZLg -xq4vxW6UTtgtsyulKS8Jrvz2+t5n6YQadosfVy88h71f31BPDTnhO0Ea2rtj -zyFh2mcj7ZwzWt28Q7dilwyf337v4s9wRob/Pz8vgKJ3w+M2iwu+5kt9VZ/8 -AsZa0hguOrngsXtX0jZ5X4J73VfHLxMu6PvdaDbf9CV8KB+oVVV2Rb0Kjj1e -RS+BsUj7k16OK1bV3z/54N9L2Mh1WRTe64bJtJfkzS6lQNFrmc58VzekDu85 -ExCfAqIZcWFfp9zw56VvRytnU8AulSQ0reyO99QzqRlOpoLLy+MpkdnueCNo -q/+RRypceGG88ZPVAzv+fY383ZYKY8lyJ1mcPLCx1EU2liMNxF/kKc2890DF -7OShy/fT4MZLlHyo4InapC2x3flp8ItiVCwu1RO5+YS5ujfTYPKwrp48rReG -3TpKCFJNh91/L+MDCy9MP/077kxsOgQkZN3a3e2FtlVxx79Op4PisoOAzAlv -nF2gufFELAMUGXMEpgneSFerQgXuGRAxfe02wy9vfCt35+JsawbwOhnWF2n4 -oKbBGU4/9kz40TahP1Hogx4i353238uEQOuPXE30vqiRbGdSmJsJp4d3778j -60vmn+YZpY1MoH9mJ5tn5ot0Xp+/9118BRQN9HaN8b44vTAVeifmFXCrjDY8 -afFFy830oompV6AtMiEmtuqLySVnrfSOv4bih1wl/gJ+6M+ZVjTq8hqk2cK0 -Em74IRvfeOi15tcwtucUq423H14Z+Pi9ni0L0h6xfqLK9cPTIrUzJ/SzIFri -vyGd937YIWJmkpCVBUkathO2tP7IODTj9HctC7r7fu5clPTHTQExTgOlbODL -ey0/YeiP8bzqF6uisyHhc3ycRKQ/TlVKUe0dzwbJoGo69Qp/HKJYuf7gaA78 -9OGMPfzJHzVkl5rLHHLgXQzTehlrAMpkxW7R1+fAmvO/n6xnA9Bfc35FlzkX -Lor+8BYxDcDTMh/z3tzJhY6iyRRaUgBe1ooQps7IBT/GTp306gBszZ4y1fmV -C1aSJZlU8wGYfWbywRv5PAgXSwr9jy0Ql6lChGjD82B83W+H9kwgptBOvNZ/ -mwf34x4w5RgHYqnSxLdSgXzgpVLNZYsKRImKkOXdtvlAryQ0K1MWiJxmU5Xm -1fkgcpMqj+9DIBpdn1ZqonsDfpJTzF10QbjbPirqkNYbYJgvo5QRD0LB7rmn -7i/fQItFVITJnSBMuvfZbPTbGyipu5+t6RuEClzdHokHCuDtJ0kD6tdBOM3r -lt6hVABiE1SvnPuCUKZ6S2zDvAAq0gcCs38HoeK6JrcwoQBcFZ7/eXEwGLcH -/Ix1SgvAIst055ZyMFqrBbGGjxcAYU4sqc8yGKN0DQ5UUxbC/PJyDwMpGE2Y -WMK/HS0Ep4HSWJryYPx6m3Tn0LVCOO3n/LNxIhiPKn4NueFYCCK7pGYUd4Wg -u+HPKf/EQvBeS1nwOxqCaped/yutLwSj7YeB/ldCMJba687CfCGMcD4tv2Af -gjoR1B48LEUwqizo1xIXgskfmCMvSxaBaTDzDF1VCBpQxkd46RRB8LjWKONU -CCb+fOH2xqcITitvG/dShqJGnsitmYwisK/fFXLzSCiGyEjzs3cXgcIN8/NP -1EJRmtA4prxcBAkrosQkq1A0Kunzd+YuBp/sG85G0aHI+Eqf57VCMaw7Di0v -FoSihJl18nuTYqDQLKURHwrFodWtPUwRxRCv/DtfZi0Uf15ndjxXWAw16vFL -O5xhGO76vPnhaDHYGMU1h8qGYbLNG6oXf4shP3pFfFAnDMUllE71C5aAb2+B -5IR7GJ5r0r5KeakEJvl7ujOfhWEb/5fbEnYl0B2qtn2qJgy7r+7cMI4vATUq -wUaviTC8phonG1tTAlokvUPh22F4ZXfu7pbZEvghscZ2+0A4tqZeGFqjLwWu -xW9PP50NxxpqvWChk6XQW3ChUkI3HMVPrx29rV0KnFEbNoru4RjzeKY5xKMU -GkoMePc8DUedoBWLipRSSDNs4k0tD8cAhuNcn9tKoS7qqN3qSDhy8IV28yyV -AgdE8NKvhiNDB2P4JY4ySHVY4pncE4GG1MXXPc6UgdlxDRuHkxF4YND3YO69 -MjA1LebquhKB8hKOKxPBZfDiv32cnywisO6/qAGWvDJgNXW2qAuOwFdZXeUK -Q2VQLjK6525aBK7Wn8y03SiDp49kWMsxAjMsK5NeHi6HYvkn90fGI7Ao0/zZ -wMVyoIz8TV/6JwJFnORTd1mXQ4S+Ns0t9kikfStTKBlTDteLim9VnIhE1Y7b -7SYV5XA1fM/fcfVI/Hrt+ULcVDn4z9ms15tE4popPWsbdQX8bOpSM/OJROM9 -TxXWRSog4cixpd6nkSh17bqzsEYFuDIGLv4pjkTLg8fL7rpUAPHRB5nZnkjc -5SP6L+J5BUzrn5mIWohECgfNa7VNFWDZHTuySRGF9zdeZC59rgDRuiVBYd4o -FNq7l46PrRKEJNXauCWj8GZtlq2GdCXoHU/BjstRWOna89ZfrxLWbmwlnDOJ -QpnGjxIl/pUgeO3OB3vPKOx/+i1g7nUlLApUxFjERqHfzkIHZ18lKPbxFgvm -RqHaygi12loliGn6nn/ZFEWOT9lpt/1VUJi/eObDWBQKBoXpZCtWQfuMZur0 -ryg8KXjdYdysCuy/NTin00ej3nU6f+boKijplagQORyNyQxFQfIlVRAemmnq -IBWN6xrXvW3GqmCN56C/9+VoND/2weoFRTWs+sczXrkfjR8j71/rF6oG36a9 -FBPO0Rjs8/YI5dVqyBonGZ2IjEagUFg+5VANpl3sEhdSopGNNaHY6Gk1VMQk -2PCWReO/nE8Wj7Ea0k7xcZd0kvFbwX3Nc9Vw6HX2CbYP0chN1C5dZaqBE79l -ik6sROOVUbdLRyRqYGR/eyorHQHjc4nD2ndqgJVHl6KYl4DrrImawd41MPX9 -Zyf3CQI6Uca3laXXgHJi2C5FRQLS+QWKL3TWwLlDR14d1yJgYfSDaK5fNdDp -1lTxzpSAziJyM6pctbCUayKj5kbAFOma067ytWAhmzrhHkFA/7ic2NfGtUDx -fJ+R/XMC8qjSUb4Pq4XCsbq2428IaHa5z42hoBZ8fj1nya4noGkq1Y7c21p4 -MFMk+W2AgPxX0omW27Vgnf1XbnmWgCS1whPPBOog/Io3X80KAUufiLzvUquD -WePElf92EZEox0bctqmD3dFsbVcZiShwwkhTLK4O2tJTwu/sJaKFE5+gQXUd -sLy+qnCRl4gmrJd3omfqYOYJxyy7APF/+hrhvONvp2ZhIjqenZv4KYYgLr+y -cesUEUk9WlP8Wgh5y4w2XbJENC0S/3bTHaEiVn6Q/zwRlz+70ga+RLghGCF0 -V5WIpx3FxEpbEVyTVywdrxFRROPavflvCKK0Li/stIn4sP57XwRDPdjc3dd8 -TY+IyX5fnNIP1IPCs953u43J9tYHBWpP1kNie+pkgQUR9/oGD48o1UPQLGnw -tB0RtWuPRC1p18O/xWflic5EXBXcukxnUQ80E/WRs55E/FZMu4fPsx4Sqilu -MgcQ8aQM1VtZQj2s3fQ05Q4jYp5LTqxGaj1oPdQSpiMQ0chp54plaT0UM4Yl -voslopb4zj//9nrgOs5bFZlIRN/X2dnPxuvBq5cuVPAlET9NUt4oWaqHuVUN -6ucZRAzop/7RTdkAN5LXT2xkE1HPtzhkjqMBaju36GQLyPv9zsL772gDiLrr -EnRKiVjNxZHJebYBknO5WvSriHh+p+X4yWsNsNdIPEsZiUiRLpijer8BQhMz -zu5uJuIKjdh/9xwbgOKWi2dVOxGPHZmNdw1pAPfYDCv1HiLGUV6gIiU2wIa2 -OEP1ABHln123yMprALdELm3Wt0Q8tEzX0VDfAFv3da+rjBFRjtZaYGyoAXxz -t/4YThGRMOrhtDzfAHTu69f0Zsn2pjKNjJsNQOzU0Do7T8TFwmR6QZZGOJhM -R//3MxG/VhWqneVrhNxVHsuU70Q86mfnrynZCOd6Q92P/SLnk3q81EqlEfpF -tORiVol4/eKv2QCdRjBl9Myc+kPEMxeKGZKsG+GvNUUjyxYRJUZcxEt8GmGX -WcyPw/+IyDQYp98d0wgfmD/G7KMkYascC+lTRiM8NljOWaIi4QPmqc7tikbg -06s/k0NLwll1eqZ93Y0QSHMVLjOQUGk5QkPsQyM03E2o7GUiYSCNRfLF5UYY -0U3NktpNwlcBL37p0zRBA70Vqy8bCXOsT1525m6CwPvLX/L2kjCmnjsn+ngT -8JnKnkMOEt710d3zSqEJYjnlqUo4SUiV9ccLNZpg6hHF+UhuEobIf/85atIE -FB7+y6q8JFw+d87yp0sTbJ1o4l3YT0J49ekzfUQT9EY3VlgcJKGt57wtf3IT -uCb6dvcdIqFvjeK2XGETbGr81ebhI+Ejs9/RN5ubQKtUVluFn4QqXtTHrEab -ILhZovOWAAm3/lq1BXxpAoLP15JLgiR8/Fn0YdLfJrCbN9on8B8Jmc6r8pSy -NYPIRsLXCTI2oazu6hFshqryKBmPIyR8KRYaOC/dDIICihvbZFzXnH9hR70Z -TGSLxY2ESFjTeoKBW78ZPDc/TmaT8SH9/F5xu2YoZOm9P0rGJoVDUeoBzaDM -ZX1wkYyJTckqRvHNIMtVxzZJxqmPWTfcs5ohgqX5bBkZx/MLZTyuaQb5Ta9k -BzJ2eDh7KbevGa5PfpXdR8aSLspfmmebob6MhekZ2Z9RhSsBk2vNEBP8kYOG -jI2bN/b9pm+BxisPb2mS99NPdzV194EWuMmQ0xdE3r8Qg8qxoydbQKkmyTeJ -HB+D9k+vQKkFSA+ULJ6Q4+dwUZj/jnYLnKdJCnMmx9fZlz3WzrwFrj/LmpE7 -TEJDtzSKMI8WqDlqZT9Dzo+o+HvTlOgWCMueln14gIQf0ktaK1NaoFyQUXaS -nF/nUSm+wZIWUH68YHOKh5zvNj2HL20tIL7hOmnBRUJNZ+H6XeMt4KFdFxC8 -j4RPZ5/R7l9qAaHX5fdD2EnYzFyiIknZChK/TFys95BwZNXe9zJHKySJtzZL -sZKwM3Gk2PhoK1kvjat/ZCZh+r+paY8zrUCMSad1YiTHny+aLvZqK/CU8m99 -oSNhvaT8idx7rTBfZRF4kYaEbtYqus0OrTBOfLwTuIuEoZ1Z0RPBrbAu/cI6 -a4eIS1fN2leftoJCXmRX0TaZD39707PktULOiu6BlA0itjb/vHakvhWUGFkM -HX+T+byi5pn8UCvs/HzxWHSFiFv9s0va860w94qjquMHEQ+wGqvZbLTC6gmr -wcvfiBj7SP51MHMbiASnTRQtkuvFlv3uF4fbICyv9t2/T0SMzqLwKJdoA7aM -ytaTM8T/9adtUG/9JPPiJBFnnUTNFu+0wRPq267n3xORPbZjnsKajK3Xz/KN -kPlltN6ax6cN6tI9fn3qJ6LP+b0bp2LagDVvOiG6m8yvvbURlzLaICToqMRB -Ml9e82/6z7iiDYRO3KglNhFRVlew2aOrDZYy7575XEdET51Ji9ipNvjwQyVL -iMzHPF6/9uX9aoN1+n2M6mS+Zm41bG+hbge55Wa9G2Q+1zl9zG+Kqx1Sc26l -nMsh4t+2S+f/iLSDpFTnKEsmETd922jYFNrhW/RhimZyvZjYUu46ptEO61Hn -nQ2fkevRiEW4okk7BNBxxU/HkesXs/SFuy7tELGVaqhKJPN7Wtbao/B2YLf8 -NPg4nIgDT5pTIp63A4/m+I+WQCJ++RKsml7QDs/KQsomvYn4KOnnfE1TOyTF -fhYadyWiXS6l78jbdjjwnUKx7hF5fd6qvUufyePre6hDrIlYtcDzgvZvO8Sx -3HgkZUpEenb+I4fZOoAwEhLWYUjEjqS+dBnBDqDldrp0QYeIa4FHDt2Q7gCK -Ea7S1JtETOwSIJmrd4Ajs13HwmUiFti1/fXV6wDTOq+QvReJKOXIbvLUtgNG -v5z/IaBARNG3tM2F/h3QQqqk4JEhYkJ8ysHOuA6QKF6oXj1J9r/0o93s6w44 -cL2Lr+wYEWslemo3qzsg+IHJSX1+IrrvNqBm7+uAh7+LFj7zEDFbLV75+GwH -DFKWq+uR9Y36jJP3hbUOKAmx0y4h6x+9d9uFuvSdwBn6gXGZrI+mxI5/cNjf -Cd9p6IdFfhNwYIaCNvJEJ2T+rJ47N0/Abu5B0XTFThBxEl3mfUtA3T/LOjVa -naAom/OypYWAvl7GUcNmnbAgJ/vrXCkBFXME2765d4Kg+9BH33QCJvhK0tFE -d8KvdU9X0mMCBv6NuXowpRM0yk6/sfEnIL2AUqJUSScoFW2GcNsTUHDp/Per -bZ3Q+a13F8GQgO/uE1RMxzph5kHh4cGrBPzPSyzT+3snhB9MnZo5S0Da8/uZ -n1B0QQd7CtQJE9An+47rG/YueKKWr2TGRcDQmrkvbUJdsFPdsTBBTcBTzo3G -03JdsPJw5cTh5Wh8NLo0u36lC9wNjnOfIOtllWlL8z33uiA+yj6NoTsai4jS -q8IOXaC81dJfWBGNFYsawUrBXeD/6thLoYxovLVYc0j3aRfcjHm6x5wUjZEE -l1qH3C4oq+Y+4uwVjTpTfkaR2AX5gpljNyyisWp4nCVjsAukOxUl1rWisexR -ENbOdYHmm6/Hrc+T16/wdnm73gXUQ2ltucej0S6jTeoHUzcoS1nSVHFGo/iZ -e5t0h7uB863C3GPKaFzZ3It8Et1gZbi89+y3KDxsne0ld7EbJD20zxS8jcLS -KCHpm3e6wVrYXHelnowNQxYtrbqBx1LEmTonCvlnu2MDvMn28knhH8n90TrH -nzNJpG6oS61NIHpH4dl1mvGS9G6oSYx+yWQehXMRG4495d0gfIQ1VUsjCrf7 -BujnO7uBUQmeW52JQs/m6Ph/k92g9+tQzA3BKDS3ED/E9asb+CXzfamYo7C2 -ruzFSeoe0KT7bh64GonOzfz71bh6gNJm/NLQRCSmutsT74n0AJeZm9DP5kg8 -M5Ox4yrfAy+XW7fGciPJ/tSZk270wFO25s7HsZGYgTVdWcY9QNNg95jXMxLd -ZV4ca3TugQ9UPdq2xpFYYWjqMxbWA+Lv3+2JuRSJJrJ7+5eTemDpfGyb/6lI -9GhI5WUq6IFDZ3ecFbkjcXOTy1CwqQfq2nj4ev9F4KdP9s/Pvu2B4fmPjcfm -IvCcT8GI5uceuJOgb6jRFYGbHUN01ts9cG0ieE21MAL/axmXDGTthZIiwyDm -JxGoInXZMEmgFwImLF698IzAxal0QolUL+TzHl2lNIpAhsbF5m61XpB7+MRK -UjUCc6c4dz7p9sJ/w1Usp0UjcPD0SYW/Nr1gdZ00RrUnAp2aT/nv8++FfR94 -B1PXwvFZ1KEusbhe4A64vco2Fo6KxDVulde94HZWVfFaXThadpdZGVT3whn6 -LxV3U8Nxr5JRk3NvLxh+Bl3p4HA88+vPYcJML0xMqR2bsQjHT+9d/F6t9kLV -IjWf7tXw/51f9wEFnaNSung4lt6W1nzH2weJcvGEGvZw/PjNsemnWB8QfK3o -0n+HYUz1c1kGxT5YHF/Juvs+DOubCor4tcj2l0+6TFeHoT1N/qkzZn2Q13fQ -Vio5DFN840pvuvcBnzmSdHzDUEvKTMEqqg9+cnB8uGIUhqGCgt0BL/vg4Nv9 -t1mVw1DucodBUnEf5Oe83Xh5JAxtcnV+l7T2QXycchslXRgeUR2O6XnfBx8e -P8BTi6F4Z7/c6flvfRCSKTcr3hGKbMKhY/92+iCyp/7kTlYoBgoda4xg6IdL -UdrnnoeH4sYpNm5u9n44aHkzickqFL9Kb9ukH+gHCpPy65cuh6KO1LdWcaF+ -WHMPvKVzPBSVxKYP157sB8o3lQWyTKGYdeidm7oceTzFbYPFLyEYzjBM7s/I -89voGZp3huDn70PiRlf6IWKjo7giKwQbu0bJ/Vo/zKa80H0fGoLcaR++uhv2 -g7rZ5K1OsxD84vD1Ep1FPzSoB2REqISgtMJW9uNH/XBTnaR68EgIblOyMvN5 -9sPOAzp5H6oQVEQhm9ygfih4MRdUOBOMVM5KA7KEfnD9fVygBINRUchIqiWh -H25Yju0JeR6Mm31BiRqp/SC79VNLxCMYTzrkUUzlkHGW7dfnd4JxhvW9mWVp -P6g53hqZkQrG3Zn0/b/r+sFSL2Pv773BWCF1Ti6gvR/S7uuljf0Iwok6hzTW -wX74HOARQugOQm/FN7uTxvvhYtMu5MgKwsTaJfdjc/1QcXhZ3TIoCCUkJRZL -lvpB+qn6MeL9IFRPdbuluN4PnScZ7gbLB+EJjtSqHsoBSN3jiFd5glAmJpTh -LtMA/LbukV1YDUTjA2IX5jkGoE5xX+vN/kAsLiKYPTo0ADuEy2bEnEA8ol3g -/O/oAFTdtjvwMjgQ66gIduGnBuBbQsCc3/1AdKs7rs15dgDiNYMapc4F4u2g -4P9Slcn2IU7F1ZyBePfOiymxawOgJaVVwf4rAP1knAMqbw+AmR7/gHxXAHYK -MLFfvD8Aq9sTf+UyAlCW1yCq35LsH2eIAr1PALYctF3WdRwA3+zDj1/fCUAP -MQWlBa8BcCjP3OKVCEBN9S7PRyEDMCV/wNWQKQCv2XOm/iUOQJu8L73zJ3+0 -zThcFJo4AILlg7kGtf5YNDefy55Onj+L/QFPvD/ySFjHJOcNgArnhVOZNv6Y -Ef7GSLh8ABi27+2hUfVHrR8FB0vqyf91H9JIH/bHo/fsmhQ6B2BT0pxF7o8f -Hpz8rtkxNEDWoxrCu/v8UMZUuE9zcgB6NYR1SjP90HFLQGpqfgC+xv9IOuHt -hyNJY0HmPwcgQDt91U3bD8+kEvasbJD9qx//Fyfqh77Gfse8qQbBmEHkrh+V -H2ZOv+lkYBmEi6cItOfGfLGAZ/96HOcgNMgwUbcW+GLS7qYCAb5BGDuQfPNw -iC9a4KvVN8KDEDetuqyq74vcoh1N8pKD8MWf/iNI+mKO1tFD3ecGYYpq+j86 -Bl88JNdIpasyCAutSbZna33Q6f1Txy/XB0H9wisZOnMfzJHKt3PXGYQ5zw8p -9nt9sPnqzh9G40FodbiY717jjTX8UYxJ1oNAcey9noCpNyYW3n4t5jwImk8T -88xZvfHOX4MB9BmExbawl1crvHCdOi3oZtgglBRnSg3c80LPdsGWuZhBqNb7 -Zb1C74UfVGcIbkmDwNZkoVJS4IlCAeNzLJmDQJhnbWS/44nX3fe2pb4ZhCtt -Hz/s2fHAu2LBkrKVg6D6YO5FfoYHqiRJH+9tHISgeo5dXy57IEebYL5J9yD8 -eWdP2/zLHVvzrtZsjQxCZv527rkn7njrRon24w+DkKBYuqZ5zh278m+7H/88 -CPWkZ9MMM254r0hYtXF5EJ6f56x2DnLD68oH3mtvD8KbHxGDRcJumGRyUnye -Zgjmk7eF6npcUYXd+IoD6xBcumZekWDvipdvlIv95R6CL397g5X2ueKb/aLD -AQJDkJUnHlNd4YK2Tg1AJzoEsfpRY1R6Lhip72IXIjUEuSxzhvt3nJFm+Kop -NQzBRq2MwK5UZ5x4p8zvrTYE1jZB/BXKzrjbWi9lVWMImA/36ssvOGFyVOy8 -me4QTPftGSWFOf3v/GAIKPxuRJUed8J+D9N6ZZshEJII933d44guahTa+S5D -4PmxrsDS1hHd06ryOfyG4G/s0sFtNkd8HxnX4xI+BEUqPO16RQ4YT00qHH08 -BNHrCm8iNR0wnzHv7unnQxCfbTgUsfoIBV98bo/KHIIhPY/Td+Me4e8Gle2P -b4ZAmjVm8I/UIxRyaF6VrhyC1oa0PJO39lhQZFQU3DgEMY4FLS+d7fGpL7/U -UBfZn6MVvLmc9jg1QeF1YGQIHo9V5QaX2aFvG0W40dQQTEVVeIjfskMT26+W -BQtDYABMQxe/2OJDqogTe34NwdjEtzf5R2zxWcCH4cDNITixui/6mYEN/vix -qM9EPQwPg4IfMsc9RAu1Vx3pLMPgRFS4RtlhjYxRvNwaXMOgs09B3H3TCntq -ldTZ+IfhCEcQu4uwFVaOHdafFRmGmkj29T/altjxsVCz7fQwiPp8ntz2scB/ -79ZFUWEYnn6lbQl6ZY46VauLHWpk+wGrvJhuMxwPyQhZuDkMVae4nwj+MCXz -OxMDt/4wUDAz+8uymuKlhSMPdc2GochQ1WZA9AHKu60VF9oPA4h16i6pmqDW -H7dJXs9hKHOMvUS4Z4wx94s/xwcPw5pU5pkSZyNcK095d4Q0DKz2O8f1w++j -56ZydtuzYeAQenko/Nk9FBV+buCZOQyNWWxHnLUMkUI5e+1CIdl+y5Z12lof -Ny5Z2x6qGQbZ8wbbGr66yK3wsYupbRi+uI5/7YrWQf2DrEzMg8OgmbEweTXh -NrYu/hA9PEmer8V/cDRJG2+9DDp1cXEYSO9zOyySNPH8Bet4+ZVhEPhrWWGa -poEV/fC+7e8wbCUEHjX2vI7VahSbH+lGIJLwoDz2/WW8lpO3TtozAsaTrnxG -Bmpova46MsA7At3+dUZdjBeRR7yPkCY4Aky+0t79U4qoefPCMTrRETia1TA3 -WyKP+w3Tk7clyeMpPfz0tmTQ9tbycsDZEZBgeNrHQpJADVmxI8+VRkDfR+bl -968i2ECtLaWmPgIvLtHSntTix7pqC8Gg6yMg/fVcCpayoYq+1Q9N7RH4v7d3 -6qv/D/fDOns= +1:eJxVunc8V+8bP052S0OFFsmqjGRXrpJQKishDSEke2Zm7/EahyLZoiJbZpe9 +98ssKSqjJKTeKPm+fr/v55/v+eOcx/NxX9d9Pe/rnPsa9+Pwm9jp3N3AwMBw +mn77/57/7zVfOVywidXtpBwcu/908t7BXSjreqezeo868HYFH8+fEsTO+2F2 +TK91IOPw1ffLTMcx7t/mGxo9hpBANWw+9UAO+8v3X3v30hgOFtMG07WUUP/u +xvy0Z2awqDzO8qhJGaf9Oio9hy0hhHln0fc4VQzkNHM3P2sD7QfWF/XiL+KB +EEvngnl76CFnNnZ3XsG/bziC5G84wRvxgFixBG20yIu1Vf/kAos1pO1ek7po +YLD5+HjQA/B9+OcaTeMatpbbdGxQ8QRjq/q7J9oM0IHL+VDkFh9IutjudUfH +CH36rTeK3/OFszO/3h4auImVJ7u1FVr9QDidv+akqDF+gTtTU0IBMJpvWCpx +9w6yjh9qV/APhMb9Alo2SSbIc5BrTfxdEIzPeEct9Zsi76q0d/PxEDiyy8q2 +kf0ubncM0mAKDoXs5KnFDwrmuBzMYjkxFAYGYb95VSwtsFe+pM9MOAJk++Im +F6iWmBhCRIQ7R4KaR4veTNU9vOWUTtHFKCD5RVge+WSFG/+OTyFbDDBMfzhQ +wmqNA9uyG6eESJCZ2/ggTNgG+RZdlWpbSPCw75Rr1nlbnEy9ecv6Hhkir53f +w3nHDvn4bgjOsFNgIvGH2MdT9jho7UiVy6IAtxJL2vtme2QNTcnWV6HC1+hP +Uw+1HfCVw6TZhY9U0I0JX28accA+UZWWzZ4EXIPV6UJjR7TML+9L5YqF70lS +GecmHdGLRS2QPTcW+F6dOO5u5YQbRWdHz6jEwZz1Wozudyfcsvf56MW3caD1 +Lqamz9YZI995BwjZPYLLK1/rfs85Y0uN+/dApscg3UCsn7d1wR38ObJ7Hj+G +Wwo7P6h+d8Hbf7luvz8WD7UG5ndW7rtiqU6x+VBtPFgcig6++dUVOQ+HX2bR +T4BzceFXnCzd0NU+bqfFbAKYFRuVK0664ZjcSNlfvydQ7cXYXGT6AK966Jxu +2pMI1394uo19fIAdchtS63MTQXJze1vFTXfUtp/+tHTuKajT5mrU37rjsAAL +6/W3TyFBZcow9JoH3tcxYPtpnwTixsWx7n0eyPH/v59k2CB0zXnfFU/M5kvL +qklKhq1xHb/sWz1RxPhS+ipvClzP38PrpuKFvt9NJl6Zp8BnZ7lxcfTCG2Vc +270LUyD301GNxwreWFFzR+LuvxQo/LukX1TkjUmsF09bXEyF30hh8xXzQebw +TsWAuFR4IMZy/d8zH5y/OCtcPpEKCucvaYrzPUTjC8+YOSTSQJ7Ncooz/iFq +Bf3pcfRMg3KFY+Redl9s/fct8ndzGiw3bGVYUvHFuhI3eYIrHXQyjc788PXF +sy+SaBp30qH77Q6d+ipf1CP/Edv6Kh0cvnqn3l72RW4+0T0dq+mgZjiZUHTC +D8OuCccEqWWAtvAlJbT1wwzp37GKRAZEXs2L8H/uh3YVsUe/fcyAlY+cAWuf +/HBiikXrkVgmPO6wOSx5wB/ZqlWZwCMTrPe02vEa+OOggsH5iaZMsK/lv1tF +9kfdW4q7/XY+g+w2N+bd7f7oeeS7y17jZ7D9RPtlYeYA1E6yNyvIeQa5q3vP +zp8KoMefhnHllWfgzW81Zu8SgGzeM9+7z2eBX1aJ4PPcAPw4NRZqQMmCosh/ +u+O/BKDVakbh6FgW8PSqFJ7ZH4hJxSfv3ziaDfnOof8lXw1E/93phUNu2eDp +1jpZGhGI2/jehV5pyIYHI2y+QXWBeKn30/eabc8hK+Fc/aaVQJQ+Uj0ufvM5 +sFd65V+QCMLWIxZmj58/hyTFIjW4G4QbaeMua7+egwnPVOhsQhCuHhLbfUv5 +BdzU53bW7gnCON4L5yuiX0DokiqHLUswjpXLMO149wIm5x3VlRWDkcbwU/Ou +8Etwu5R4vMs2GLXl5xpKnV6C5GdG+x3pwSj3nPjDXvMSOGInXnEOBaO/7uRP +o805sOG20lzjxhCUlvuUm2eQA3uVd4nLKIWgxtUIUebMHNBVsrC96RCCTS/G +zA0XciBbVzpPKSMEXyi+v5t3Ohf4/b3mhwdDcJEpRIg1PBdKWkHqGEcoprKO +Zt8czAXrIz7O8idDsUR5dLbk0Cs4kyH3es06FKXKQha32r0CaTnrVc+kUNxt +MVZuWfkKLnzaq1TUHYommh+V69nywC9b1T+dIQy3OkRFHbiaB7Tg2aZLx8NQ +oONLvEdKHqj5bNmcdycME41nLIZm82A4+rl2GzkMlfZ0eCbsy4fwsppHSbVh ++JHXPaNVOR+u/9EZO7oQhnKVf8RWLPPhkr6xoCNfOJ5d1uUWjcmHWx3T1g6a +4fi318/UsCQfIq/PFov6hKO1ehBn+Lt8eM94fy0hJxyjjG7tq2QsgAt1ZqqN +b8PRbNOW8FnhAnj7ZCQmmz0Cv+mTDQ5cKYDg6NYRFdkIFD77LUTLuQCuJkgf +TjCNQI/b82P+CQWgejjFNYsUgeoarodLagrAnEwevl8dgQSzt8HUZAHkj7Nf +nJqJQMMIZk+eLYUgtIu1nWd3JCZ92BypcaIQmo6GGTEpR+ItxrgIb8NCiBWk +/Em3icSE+WT3vIeFEL2B/+Wfx5GonXvk2nhmIRTVyVhtbIjEEDlZ/p0dhcBm +3iPfMxeJsjF1b1UWC8F/dn73ZZ4oNCnu9nflLgLRa4+Y/c5F4casmzzZSkWw +llbPaG0ThVIW1kkjZkXAQHPZuvVRFNKW/mzfFFEExyZzj1jUROG85mbnUwVF +EDh6/5rbTBSGP3jaYDNUBOyleWSlHdGYZJvHlLxWBKWO7qNVitEoKaV8vEeg +GEg7WmUXTaLxVL3eZcaLxRAXm5QyFh6Nzfxf9aXsi6H1zwqPf2E0dlxe1zKN +K4bD59+ljY5E4xW1WHmiqhjyHZRPzjHE4KWtOVsbJ4rBzE/iU6lwDDalnaP9 +Yi+B885pCbJXYrCK+UawkEQJaF18csfGOQYlpX8J6+uVQDgTr7xRQgxSqOMN +IZ4lED10T4ihJgYNg37eK0stgbW1AekbX2IwgOPonpnmErh/Mq9AjoWEXHyh +HTxzJbAtlXEkcQ8JOVo3hl/kKoWvEiak96IkvM1cpOmpWArLUz1DKydJuK/P +d3+OcSkotV7OW7hMwtNSzj9Hg0uhjDa8u/E2Cd8cjurdklsKlmyOW50dSJj1 +vP21Eq0UrpjxxP3zJ+FSjcQzu5VSuP+1K9eMIGGmVXliysHXUBVP0XuWScLC +Z5ZPes+/hrOOZlH1pSQ84nI6bYP1a1hzUtGraiYh66BcwQnKa/iRJJVLGiah +Wqt+i1nZa+D5KR57ZoaE3648nYodew2u9gpbOlZI+MucnbOZuQw27dHZdWIj +GU23xystHymDwckHuS68ZJS5oukqql0GAx9yB6hHyGi1/2jpdbcy2LhhISpK +kYwbHh77F/G0DFwvKw+aXCQjg5Puler6Mthdl/pq53Uy3llJfjY3Uwbfb3Pu +SblHRqEdO9j4tpXDilAEJ7s7GXWqn9tpy5bDqT27HmuEkrH8Qeeg/41yaFoJ +6bF6REa5uk9Sxf7l8PLRm+G7z8jYEz8b8CW7HGbny/JPlZDRb32qdXd3OYSy +uBn8qCej+s8BZvVf5fBwYLnbu49M90+ptPveCugxVtoz/ZGMAkFhhi/OVoBP +lpq4+A8ySghoOr2zqICg51y7tNbIeEOTzX9zdAV8Nc9sv7yJgkkchUGniysg ++8OKtjAPBZe1NX1s31ZA7c4d2aNCFLQU+XA/maESZJjmOm2kKfgp8s6VHqFK +YHgVVf/2LAWDHw4KMl6uhCNcC6ECmhQEBqXF406VkC/DfUD9BgW3cT4uMomv +pO8PpgD1exT89/LzPSpWQueLgteHXel4UGBXw5dKMPsnUDXqT0Fukl7J0qYq +0NtynWQfQ8FLQ+4XBaWqIH1IV/rjEwrG5ZD69Qyq4OLN7dlHs+l8ORN0g32q +4HIS5ZtOMQVdGOOaSzOqIDdh6J9eDQXZ/AIlp9qq4K7Ox3HpDgoWRN+N3rNQ +BR6NOcSPIQq6HlEYV9tTDV9/ntoT/ImCqbJV0g9OV4OT5u/s5TkK+se+JLJN +q6H/glKY2ioFedTYGEfCqmHrJ64yRxYqWmh0u3PkVwPfXg8Zj21UNE9jWlcY +rAb2RRvGW3upyH8pg2T1txo6Leb3HhCiIlm9QPzJoTdg4cUYVCFJxZJHR0ba +1d/AW4nUUydOUpGksI301/YNCPp3K4eep+IhcRNdsdg3oO4U+ahck4r3XPgE +blW+ARWmYcVmQyqacWqsR4+/AW6pwmMFptT/1dcINeu8Nm42VHQ++WV0XgxB +xXrHXx43uv3Oq2P8VxFS3B6PPPal8y2UnNXxQBjgz2VZCqPi4swD1sAUhAkr +Ld+jVCpKO4uJlTQhtOj4X4BEKh7RvmI8OYsQNKJ8RyKTijY137sjOGpg3zq5 ++U8uFZP8vrpk7KuBsHo7r4xSurz1/kPVEjXQLTT6UBSpuMM3uH9AuQa+iwz1 +hjdTUa9aMGpOrwY+txo7tnRTcUngjwbbvRoo5nC/MzFExdki1u18XjVwffpg +8vAHKkrIMQ3Kx9SAiQyH48spKua6vSS002pAt2aaz+gHFU1c1i9ZldSAaNib +l1O/qXhVcv2ff0sNzMSE79D+R0Xf7BcvnryrAWr/Jb1HLAR+fs+oVTxXA4f1 +WZwrNhMY0MP8o4OxFp7uKbat2EngDd+ikC9ctfBvl+H5R7wE2nzfwvtPuBbU +dZb+0+InsHIP17PdJ2vBsyMoeEqYwDPrjUclrtRCbOCWheviBDJkCLxUu1ML +jz0i5F5KE/iTReywsXMt+L5aNxxWJFBEcCLuQUgt6PJZGUycITCW8RwTOaEW +tvW0SbWqEnj6iea957m1UFTNPxN5icADi2yttTW1cGbG1lNMh0AFVutDb2m1 +UKxTOPNCn8CYIU+XxUm6/uq3Eyw36fLmcnUbV2tB78Pe66dMCJwuSGIX2FIH +ARuUb2hZEPitokD9JF8dxJveUjxrTaCwn72/7ok6eLTBYWmrA4FJzO9K7qvW +gdcH9/ByFwI1zy9MBBjWwaXVB3/PeBCoeK6II9G6Djbo2l7M9CFQasBNsvgh +Xd4+t3HSn8BNfbE3Oyh1QBoJQ5YQApsUtpA/Z9aBcjST/IYIAu9uHmv7W0Yf +j+aVGI0mcOIC+6ZdHXXg/rYrg0ohUHkxQlvsQx2sO/DFi8QRGMhyL+n8Yh0I +6HFufhJPYFZA8sJNlnoYD3iy9jWRwJfWEhqu3PVw8l+DDXcKgZQa7pfRR+vh +REPEDYF0Aq8/NNqepVQPTQM/Wjc9I5Dp+X/eqF0Pv6QWSnqzCQw5/X1+yKwe +at7F8Lm8JHDx1Cmrebd6EO5p5/idSyBkfZ5hj6iHY9syHa7mE2jnNWnHn1QP +7U/2GpAKCfStOvtXoaAe2J0kqp8XE+ho8Ttap4HOL/ZjSkopgarezCL3h+rh +GrMEk0sZgX/W7jcHfK0H8ze8n4UqCKTOHLNJXKsHrob0c6WVdP+dUeMp2dYA +t3a18QtUE2jGWNneKdAA5wqjfGzeEJgiFho4KdsADQlzhrFI4JuGV+fWLzTA +567ZooQaAquaxDm4bzZA0pXQSO9a+vdw81WXpH0D/DpRL6NUR5+vgBZ1IaAB +ph4nM76nY1J9kqpJXAM4e++fN6wnMI3KueLxvAGSx08xltBxHL9QJrWqAe42 +rknP0bGTzcTFnO4GaBIxjmBpIPCEm8rXhgk6n01mzH/o40NKlwLe/6Lzt+VI +6aJj04aVXb/ZG4HQ0rrlS8c9bJfTtu5rhLuF8rCNjoU4VEWEJRqhl1qn7EPn +c6vlcxYoN8Lbpe+WbXT+TudF+Q30GsF3oKJgib4+V9+dhL1lI9TJiO5fo6// +tju96vZshKRdCi8/0f1zTHLEPDW6EXa7fDXKpvvvQ0ZxU3lqIxy5elpCo4qu +PyTD11fcCKOFxwU76P5fbL7h9LW5EUTIzaePlhOo6ypas+FdI2z78e+B5WsC +4yeesO6do/PvGBoMKCGwYXOx6gnGJigR1dH3LiJwYMnBV4OrCexZbVevFhDY +ljBQZCrcBC0mR2s25hGY8W/so6diE1QphWcn5dD9zxfNRlxuAo2E8KJtLwis +OXFaPMe4CY7G+ErdySLQ3VrVqMGpCTjlalxJGQSGtj2PHg1ugmXyBd+kVALn +Llu0LMU3wZfkfZoRSQTm/vZh35LbBAPGCp+uPaHvt4b5K4I1TdDRn3yK8TGB +0mVVT07TmqDxj6ZROEH//nom5vQmm6Bh4AIskgjcx2mqbrvSBM0mUdPyUQQS +jqezgzc3Q1car/6tMALN/zhsTT7YDG9jF8JNggiMfs7g+VqqGb6d3BF43o/4 +X3/aDIyxXsps3vT97HLMYtqgGXhSJZuyHhC4k2idZLBuBjljcU5hZ3p8Gaqx +5nnYDIZ9LvuD7Ah8eGbHynFKMzz8j3Wh1ooeX7uqIy5mNsOL7vGw93cJvOJf +f9i0rBmGr7NODxkTKG8k0ODZ3gyb4503FRgR6GX4/h4x1gwq4ceWLK8RyOO9 +sCt3gT6fuHjauhaBm5tutzQyt0BNkPsuFw0CDaVF/Mb2tAAzaeuV1vMErjVf +PPPfkRbQvPxd4y8QuOrbzLJNqQUSq3dxbqXH69E/Ku0i2i3Q8vzY138nCJQY +uBd+1qwF8t4OSnSLEbi0WfbcdTe6vhbXRx96PhBJf/7LMbwFXmz8srqFni96 +HzWkRjxtgTccF3y96Pnk69dgtYz8Foi4fMa+jZ5vHBPnJ6vqW2DnYFvbf/R8 +ZJ/D6Dsw2AJX06djmFnp9nkrdszN0Ocvicd5ej6rmOJJZl2j8988Zlj1HxXZ +d/ILHtzWCo5ZJbcs5qnYmtidISfQCgkhfF0L01T8FSh4QEu2FR7mHMg2Gqdi +QvshsuWFVti7O382Y4SK+fbNa743WsGhof9JZy8VZZx3msXbtYLv68iSgVYq +HhtkbSjwbwX1H0MK1bVUfByXur8tthU67peKBJZT0bHkk/1EdiuwiYn4Hymg +YrVUZ/VqZSusS4mp5mdT0WPrLead3a2Q593ovCuFii/U41SOTrQCz5aFLdcf +UfHCuIvPuV+tcHI8b7tPNBVvDP8tMGJvA+5VRl+/ICqOiR394LS3DfL1pwzM +vKjYO87AGineBgyrtxOFnKjYwd13LONsG4SzfIhtoVeaRv8tGlZdbYPWpCHS +RWN6/vc2jeq3aIM3jReicq5R8exLgeZZjzaw8pCJmLtEX4/vCTaW6DboqEoI +33aOioFrlMv7U9vgc6R7xA4Fun8PKSfIFLdB4dfeqCVxKgrMnfl+ubkN5AfT +yaWHqTh8J0bV/G0bvX78EWfAS8XD3mLPfL63gem14qdvOanIembv5kcM7bDp +22LmKXr9+PCFwYO8ne1gueVlng+9vgyt+vK1WagdfKtHK1J+UPC4a53pR4V2 +uPAnpDntMwUdh+Ymli+1Q2tTzkDgCAVVP1pZbjduB0Y+jS+qXRQsJMkuiTq1 +wxyr6e/pOgqWTWsHKwe3A9lzif3+awpem646YBTfDl+91/d1vqRgZIxbtVNO +O6xuCZTiTKGg4ZifSSS2w5uj/heOExSs6H+3JbOvHRSHVu6cCKVgqWMQVn9p +B5tt3zx3edHtl/m4DS63w7UhvUdDdhS0z2yW+bGpA5aOKha7m1JQUtF4le1g +B6hvfdz35xoFf67uQD6pDuj5eVf51kUKHrR+4a1wvgNYdBJ0U09TsCRKSFbH +oANmRGRYGyXp+HbItNX9DvB6IHu9VYCC/BMdRIBPB1SdSdJ8tZte/3P9p5hI +7oAXftaTThwUPLnM8q44owPUTqYe4P1Lxi8RK86drzuAZHNqOWWOjH+7e9kn +2zognFvJiX2cjF4N0XH/3nfA8VPPYrRoZLS8J3lgz0IHhAw7X3ZvJGP1m9Jk +CeZOCP/+PMf/NRldG/j3qu/phLOe53Otn5MxzcOBZHykE1L81DTlnpBRcTxz +/cHpTshjyKdMRJLpfN5YkrU6wWrZx83Wh4yZWNX+3LQTBi2L197akdFDLlmk +zrUT5rS1BEXukLHstvnDt2Gd8LpIZ05Ph4xm8jt6FhM74Vh0pYHZOTJ61qbx +bsrvBM3xcDNdaTKuru65LVDfCXy5NdsFBMn4+bPD05ODnZC6cMOYtouMpx7m +D+jOdEL3q9taZqx0+VYam/XfTsj53DI+8JuEhxvfnQjk7AJZ6mMukSkSqspo +3E481AV1Sz/EjYZIOD2WEVMs0wWp5+Zd7ej9N0fddEOHehcMPIyfNXtNwpyx +3eufjbrg1vNvMSezSNgnLaG0ZtsFJ2smjX/GkdCl4bj/Lv8usG4Ivx0ZTMIn +UQfaxWK7YKFkKILVlYRnSb+4VbO7oJXo/HLnLgmtOkrv36rsgv+MrW2SrpJw +h7JJvWtXF3jyFh+qOkdCxYX/DsaMd4FWbQZLpRQJP4+4+WUtdYGXntLuJ/yk +/51fdwPjkO/VG9tIWKIvqzvM2w2ZEcO+a2sx+GnWuX5erBsWzzEWM7+LQUrl +U3mOs93wbdBTuet1DNbU5xfyX+2GUBX1Y9eJGHRgeXVc0aIbuiPNHbLtYzDV +N7ZEx6MbavIGt5dfisGrMhZK96O6QT/38ZZIkRgMFRDoCEjphrigHDN+5hhU +0Gi9lVjUDYHyO/a4f4hG2xzD38VN3cDV1HqYWhGNgmr9lM6RbrgiTouyi41G +g70K0pOz3SDpIK6z1T4at4mGvv233g1l0e8c7C5GY6CQSF0ERw/4/Zt3oR6O +xpXj27i5d/YAr3zQlMe/KPwm+9c2Y18P+J983CQ4HIWGMrNNkkI9UM5+ZCdR +EIXKYh8PVkv0QFOyYmdjeBQ+PzDsfkGhB1IY2pYqTKMwnKOf3p/1gPrR4TCn +U1E4850maXKpB+oP3o38wRWFde1D9H6tB3aO2f8V/x6J3Okfvnnc7gEJ8z8j +xxsj8avTt4ts93pgXxmz4HJiJMoq/XlBdeyBcVrkez/nSPzLyLmZz6sHHpZT +mbo1IvEsCtnmBPXAmCXPow+HIpHJVblXPqYHdkzsf1y0EoFnhUxkGh/TsWAq +q0ZPBK52ByVop/XAp+PpE5nPIlDCKZdh7GUPhLIfPtbgFYHjnCMWViU98Cdb +4FOmTgRufcbe8/tNDyjtTGPTEInAMplTCgEtPaCvlhxftBaOo2+c0jn7ekDx +/N74D33h6HM2b2viux6Y37ybtTsrHBOq5zxEvvSAT1LMRz+vcJQ6ITVdPNcD +fSshIsta4Xghzf3a2WW6vQPr748LhqM4V1pFJ2MvSE9nfZNYCUM5SijH9U29 +0CIhc+pnRxia7hM7N8nVCzm/nnV7poRhUWGMheOBXphUWMtsdQpDQb1813/C +veD2Vw5HVcPwDVOMffjxXrhxWn9vCU8Yur85qrf7ZC8Qf69X6MyGon5Q8OE0 +lV4QVjyXWPImFK8bJI+JXekFjt+cte9Joegn5xpQrt8LypK1Iu0modh2aNPO +83d6oW/6Gs1bOhTleW9F9Vj1QsnB3ppfLKHYuN9u0ci5F9b7JRakhkLQU0xJ +ecq7FyLZncxkskNQ90K7l2NIL9hWJPCuPQjBKw6709ZIvfDy67Od4RdC0C7z +YGFoQi/Ixz/SHOUJwcIvkzk7M3qBp8m66+dMMPJIWVOScntB10GI1FMejJnh +eSair3vhfUID4RgWjFd/5O8vrukFVFYbHTEIRmFj+3qltl5YsnxlzioSjPvf +f9dtpfVCwJbVE8u/g1DOXLRb930vmEgeUclrDELnP4dkxiZ74VGv0qOjRBAO +JL4NspzvBcEFOTEbkyBUTIvZ/nOlF2TC1A45Sgahr6mfiA9TH6xQkyny/wLx +2ce8No4tfeC5/YxPTXsg5vPsXY7d3Qe5jKJfOOIDMXFrff4hvj6gml1v5jIP +xHuYtZQn2geyiv2Hx6UCkftYa/3pE30Q4h+3ZrsegC+vCh/oONUHMadTtd+0 +B+ABhTomI9U+0Lm/xNf9KABdRuKdv2r2QfMm0v1UU7q8zCt7D8M+mD/gfFxG +IgAbLq//t9G0D/ozUhzDV/2xij9qY6J1H/g+3SWR2uiPCQX62WKufdDOTjN3 +J/mjwdqtXnzYB72Twzw7jfxxmTk9SCesD0LlhNVtBf3Rq0Wg8QulD36tVi+E +//DDD2rjMe6JfcB//Onue+V+KBTw7suWZ32wOtJQyh7gh5oeO5rT8uj6v6T7 +7l3yw+tiwSfky/ugM+C7ZeQuP1RNlD3aVdcHHYFzHvZjvsjVLPDKrKMPvJbl +2Hdn+WJT7uWqPwN9MDHWus3bzhevaRXrUT/0wQb5DCJTzhfbX+l7HJ3pg2y2 +aOGD6w/RuFBUrW6xD16PDG8loh+ipsq+Eb2/fSBz8mlv8/6HmGgmITnJQgPO +Y+/sKnJ8UHWn6SUnThpo5VBm7p/0QQ2t12Jr3DTgqKpXGm31xry9x/oDDtFg +r5Gt3SYDb7RzqQW2YzSICaN4rnzxwsibbvYhMjS4ryZp+szJC1n6L5szAw3K +CDWhHYxeODqswu+jTgMTh+GGU9GeuNX6RuqSNg2c3k8oCe31xKQoYtLCiAaL +w+ZEV5bH/84PaMBoZtYoI+2BPZ7mNSq2NFD1eddrVOOObuoMeq/caPBTuKP8 +zCV39EiveMXlRwPWO7Len4ce4EhkbKdbOA1CRXn3qZk+wDhmcsEQlQb3/Nzi +LOfc8NXG3OvST2mQZ3llVt3dDQWSZ1qintHA/EPS/mkmN/xdq/r3Ux4NPL9Y +HlGNdkUhp4Yl2XIa/PbI2WHK7Yr5hSaFwXU0mE2+N6iU5oLxvvwytHYayFxN +cRk56oJjowze+wZo8PmR1rxUiTP6NjOEm4zRgPm+h6qGkjOa2X2zyp+iQXrn +UVePJie0YYoQ375Ag3l09Oa+7IRPAj70B67SYOqs5g3BPkf88WP65ibmfojV +rd2RqueI99SzWjO29MPnpZaUiCEH3BjFy629px8mBM1Zv+g7YGe18oVt/P1A +TD4+lztoj+VvD96cONIPM7J3DD7r2mPrpwLdZul+oIn5hHEl2+G/4eVjqNQP ++lvublei2qJhxdJ0q3o/bNzspn4+0AbfhWSGTOn0A9eRYvEjjtb0+L6Jg/tm +PzBa7muYvXEfL04J2hhZ9ENVQx57lIoVnnb/VVTg0A+9Z2z/bhS9h1f/c3/P +69UPeqP6yeYbLZFyp2gmLrgfuB9ZLyRPm+Ov16nDguR+kHXIWSytv4teqyov +mp/0Q53VnvSXT8zwmOjTW17P+oEckLvBx94UGVRe/DpX0A+llbbbxZVNcOWi +td2Bqn64tNOou3LbHeRW+tS+qbkfznN9eXCl7Dbe3M+5aXNfP6SfsSr4qH4T +m6Z/HDv4nm4/57y6OO06XksJOn5+uh8G9dxOy+kb4Jlz1nGnf/ZDuLe6nOVn +PSzrgZHmtX6wN9kwKhaui5XqDKuf2AaglDnzaKi6Nl55mbtM3j4Ay83Gl+aU +rqD1stpAL+8AzDBcePRr/0XkkeyOSRcYAFLxBnkhcVXU1TknwnZsAM5MRIn7 +2inj3tsZSX9PDMArroFfrA2n0e7a4mLAyQEQvX5c0XiTHGrLiwk+VR6AIark +kc0lkljLrCejfmEAHgVmRuzyF8Q3lfcEgjQHIDv+trR9NReq3rz/Q1dvAP7v +/ztLlf8HwvhlQA== "]]}, { Hue[0.37820393249936934`, 0.6, 0.6], Directive[ @@ -365566,202 +365567,202 @@ WyKP+w3Tk7clyeMpPfz0tmTQ9tbycsDZEZBgeNrHQpJADVmxI8+VRkDfR+bl AbsoluteThickness[1.6], Thickness[0.01]], LineBox[CompressedData[" -1:eJxdenc4lm/YP5GVUhFahIQiI7s4UdlNIyNb9t6eUbKTEmkS2dlb9mk/9ng8 -KiqiEpWGVDLqfX6/4/v+895/3PfxOa7rvs59XtfnPm4he+/zlzYwMDCo0W// -7/l/rxflm1iCjyrBMt+bJnbtlWbFILuBJj5dyH7GHH8ANuGA+zVvpqfn4ZV0 -eoTcwR149y/nRYNhc0gzOi1uK7sXaXV7TV8W2oLbJ9ZdNyeE8cIljrLMXEdI -euVrVGkhhnNX+xuIL1zAfN2xRXCnJEZyOYY6aXrCm1yfsvk4GRSIcQko/+YD -n538dzmLH8G1ZvYo5Yv+0NasKsD2XgGdS+946b4NBJ+Srob+FmU0M+OUnY4K -gQUFvh9VDUexp86zf8MJIsibSHa0jKmjL0+AcPzmy/Dt6df+ib8aeJnmwXHY -NQzalyK9JRO1sOHo0DmVnqvANz2mNyZ0At+D3YcPByJghjRjOVZ2ElmmhftU -wiPhcHdBjqS6Du4U5Fk//DIKltsOi73s0cVdK/JkimwMKHl6vXljpI/b/KIM -mKJj4U+X64jaKwNcjt7oMvP8GigO71v5bn8KR5SrqY5i12Eh9rbF+ofTmBqT -fD0uIB4Sl23/lCqcRWv/rCQjvAEezKbT8b/OIsfa9AdkTQDGOfFLd2rO4djW -J50fDtwCk6zxyJ7A87hvMUi9tfsWuCm6aEjIG+FshpW1h2siqGXQ7lV9N8J9 -+y6KzrMlQd9bgevuJcb4zMPvtlJeEvD/1d512s0EWWIfP7lw4jaIfzM8eVHU -FEt8Zx313tyGxToZzrtTpkiVONHNSUyGy5aLXqv3L6BLWR01g+cOtNESPePP -mSFpo04kW/Ed6BblYtNjN0cOic+vNE7chZun3dRlW8xx8+78V/oTd4HzTNbW -k0EWGP+SHHHA+x6cEqu/HHnIErtbQhcime6DPjAqSb2xxO1CRYp89+/D1n+9 -H0jJF9FmjcfmteQDuOHEl+iva4U156ucnrc+AGrgrNy2NSvk2h93auOFhzAi -qTxgUmqNQT53uZ0/P4T71zltNe1tcFJpvHbtagps6in/6cphi8aE82pdfKkg -/TjtabSDLfYrbchoL6bjvv6fQY22eM5n7u3S8UfAo3+sTHWHHb4Q2chiMfEI -aHsWZkc87dD9vBnrD580IByfenCsyw7Z/3980uFf0/YegoA9PtmXmdeSlg4O -8TEB8UH2KG5rmLWy6zE8KVFL9R20x7AF+5kSp8fQv19B4+ABB7xYy7ONXPEY -qF88bWvIDljfYid96e9jqOGcW9sx5oBpLPpqzvoZQL6ctUNP0hGZ4wZUI+5m -gNCJxwVnIhzxm/5nsbqZDMi3mmyQmHBEW71cZnbpTNjaZ6X3XOYSno1aHfYj -ZoJpvICRWcwl7Pn7Kf4XJROuZuwbL3p9Cduqg5WTebIgmsPxJe2IE2oWpI0a -2GWBL2XOfPiaE5okrkptKcmC4KDP2dFTTsi/T4KvfyULpqqLOKfknfGaqVhC -lE425EVJJI5fc8Zs+V93VJOzYfC9vVzQpDN619859OlNNpg+M/tWJeeCMx82 -nr0nlQMqFzb13Yl2QdYmbSYg5EC4C6GNf8IFn6mYnZzpygExjtxnalKuaGSt -ynuVOxckj91k3RjmisSDC4G7bXPh1l85Ey+qK55L83EsL8oFo3PJGLrfjd5/ -Oqa1/uRCiHyFtliQG7KS5xeGTubBv7z4j0EUN3zzYTLWLCkPpgpFCl343dFt -Jbvi1WQeCGsEx665uGNa1VH3i4eeQLtjTLhcnTuG82ZVPA9+Ap07jR9wsHvg -1n0vY093PAFRm9f9kWYeaDjydqFlaz7MyovszcjzQPmDTdOHrfKBOUU0zuaX -B/YcdHa8n58PkYnvuFtOeCLH6HTg+s98sOe3rW9L8sQVYSlea60CeCx9n+z8 -xhPv7tI7WX+zADSex1uVSHnhZJ0C0/aXBXCMS93iHsELRxl+nLkkVggPnxf6 -C1O88Jzyl44a/0KYaAouMeD2RqX85FW2lkK4euY4F5eNN4Ybzf6w5CyCm/Fb -7noUeKO80tviUrMiYAwc13L86Y0GxtclmHOKwJOZyrEq6INdBZNO5t+LgHO/ -Euu2kz5YoPr6UqlaMdjsTOVdcvXBRaaYAyxxxeD4jkEl+6YPZrC8emL1rBj2 -RF3yEqr0wWqtV5+rhUsgZrWnxv25D8rVxixu8S6BXJ3D2yNWfZDXebLOpaEE -Ql2TwpwFfdH+zButdtZSYLD7xbjzuC9u8b1xQ8C4FJQPW9y95+SLIv3vHxAe -l4LwSJPa22u+mGo77/z8cym06Aktrxb5ojpfP/HhnjLYdj+yc3rIF9/sCs3u -0SqDHY0fcpIXfVGpYVXqj0sZ9FfrP+DZ4Yeay0b8EglloBxVnG6n5IdrI1cd -zKvLwPjg1jqiuR966EZxxb0sA9Ecv/f2RD+8YWm9p4GxHPJ+0vbzPfJDx02b -4z6LlcPUbqXg+81++OlCopnA6XLo5nvwanbKD8U0P8WcDSiHS/MrxhsY/ZFg -820y/GE52Nmuxc8L+aOuQdD+6pZycDNUk03R8sdkZrLZh9lyuFLcpMnn4I/m -15mJOzdXwOMIcrNVhD+mTXHGGxypgIFBUp5Xlj9aM969TjavAPaEhjW9dn98 -+C09tPRKBZzpUGn+OuOP54oPmk7nVECW1+9PNhsCMEZJUYi7vwKYby5FPRQK -QMWEtokTixXgIywbn64RgPZVQ+FB/JUwd6j4r5dNAHLkWe18ol4JXgUur9ku -B6Ccs0fauGMlMKY5iHumBuDo0uq2Tdcr4TFL2lRKfQB+O8MZcKy8Egze8THd -eRGAcSGPOjyfV8IGpZEks18BmOZVypS+Xgkdf3tuznIHooycluywSBXcObrh -t7psIB5rNznFqF8FPguhfXanA5Ei9PGCnE8VWPDIcp5xD8T+U//OOtytgtNl -YnUssYF4WueOcnJjFZxttaLGZgei4ZaiLZ0zVWCtM3phrCUQuzKPj/5kq4ZQ -rVi9z68CsZH5YvQB6WpIryDlDSzT5cv/FLtgUg20e2W+oTxBmHR7uiOGWA2y -HSJBX6WD0Dzqh2ttRjWMsz8LlzYIwgj2Q3zzlGroc6HcU3EKQp59sf07v1QD -6/Tvqs1Xg5C9hyNOn6cG4v2cJwpTgtCGufIMUbUGzPbysvHUBOEeatjeItsa -8J1mUtceDkI1uYAfr6JrgNosQ9L6GITN+2+MbC6uAVJ1ahsbczDm5fc9VR+t -AZ9OnW0P9gbjUot0rvefGij+IuvyQzEYc9zqUh8LPgUFWUsK39lgrMh1SRk5 -+RQYbrQfZnENxoOBapkbPJ4C/wb3tOarwcjyTKn8SNJTIN06x6v5MBh1ei50 -O9Y+BQnl4Ls3KoLx0+lHH+5MPgXBPy8FsnuD8acTGxeFuRasR6+URs4Eo8O2 -B+rLB2thrsteV3olGBVOnwmSOFcL9aOR81nbQtBt76Eai+BaePbnfdKMeAhu -uCL59/qjWlBViT7xCUKQwd/odFN7LcwnXvrbZBqCdn/Sc7/M18I0U2SLhWcI -Hti+nXXf1joQTpiOa40IwfNN+d7nFOug5Mhlq8UHIVgXMvAs/GIdyPELhS6U -hqBS21u5qvA6sHFIFKjsDMHhB58j3j+pA6UdQ6eOvwzBq/8+9PAO1cFTqQmW -tG8hqPtjjFn3Zx28KarQa98YSvdPjXzo7nqoTjLjrdwViiJR18wLNOtBbqrP -w1M6FKVFzvi/dK6HC0mcpxaPh+LFM6zhnDfrQaJo11NNs1BMY6+IUquqh0zJ -XwWWHqG4fO7MZa+JeujkThfXCAtFF/Ep93SGBki02yHz9XYovo23Oz18oAEY -eM1bXfJCMfrKM1HGUw0gKOv2qqg+FIFBfVHWvwHmKnSvNgyE4lau+5X2DxrA -ImWpNPlNKP4tfOd6Gxsg+KOni/IPOn4msqPjfQMce1xVkLuRgPy3TKqXNjVC -QWN30BQfAQ2fh+qLyjVCBxT0z0gQ8G7RLZqJWSNESpgXlR0l4DLXQ6Poy43w -NXRsh94pAgYy3qXUZDcCs6wge5E1AVmvRsp86G2EttOK0ePeBCy/eekm3/dG -EB/ddWMkjIBBB1WmdfiaQLW5f29yIgEzFBvlQ9Sa4JBiQadQJgHD7xQmP3Fo -AkW7bduJFQTcqcPKOH6tCSxgA9PjNgI6GwyFspc1wb1h0v1bVAI6ZTL9U3nW -BHM8V6mGMwQUMsy+5bbWBBc4ucpp3wmYqFt+OEW4GV7XHJAXZyRi9b2D4326 -zUDk6bbU2UrEWypbb615NYOc6A8xOUEiCh+2N5K60wwb5vPuz0kR0TVwn4h1 -QzN8spktdjtGREcug383p+n4WolzrT7xv/M1PQzODF0jZkQMOPr+1TcpBLnf -L7trnIiYOGA8KWSMQFLV9HEOIKJThczn8wSENzIyjW+vEnFxPoQl8jHCxYkn -RYcSiCgfICVV3YXwXbFQE1KJePDcadvZzwhp2kpEgXwierYsDF1nbwEn9lPm -PdVETLv6MTB7TwucuPL5JbTR53vsFW6SbgHVJzuYwgeJuD0smjam1QL6kd2D -CRNENGkSvfHFpAUCuDeC6ywRl0RWDVhdW6DGpM9oyyIRP1eybNtHagEug92b -r6wTUVqJ6ZlyQgsw1dzY0shGwuLgwuRzmS3Abd6w2slNQvvAf4Zu1S2gLp9N -SxUgobHMv7/h3S0Qo6P7QEOChGFPCgpSXrbAQlKeXvkREr57zXi26ksLePK2 -vf+iRsKIYeav/YytwE695/lHh4QXwypj3vO0Qnun+PTwORJ6Lmze9VesFe59 -D9AItCRhAx9PLu/RVrhmQro+60hCjX+dh6RPt0Lyd/W2/V4kZMgWKdSxa4Wm -zsZ30sEk/LFRar9tQCswUH8usoaRUFx05m5ITCvY8n78XBRLwjuMx5kSH7bC -ZNIDqkAiCdVSzrjmF7dCsA5zttUDEgossva0trSCpPxBO9cMEqqweAhPjLbC -mtkmDq18EiY8JwYuzrbCx+q8tA9l9PlOSm0cK62wpP9PwKKWhHPlaWwim9tg -F//26w+QhJ/qy3WP7muDi3vfzOR0kVDsqk+40ZE2qLVwPXBlgIRpzC+r3bXb -QG642ESURsIzJ7/PRJi3QTe52Of+BAlVj1eyp3q0AcHBJfjFGxLKjQXLVF1p -A7M35XtmZ0m4iXrHqj+pDXyNrLjbPpOwS2Vz4rucNuhqdLP0WCThJc7J3rXa -NrDYM7H07jcJZ/TYNu3obwMxv8KpQ+sk1Fq8fk5qqg1kmid3a24gY+RG17ST -i20QzBCQv5+VjHkR6d+tNrbDb2X32GebyFjoQd/l+Nuhyrmj1nQrGZNa+Atv -HmqH0vgrqjk8ZLS4YrktT70d3uWlbm7jJyNT/m8ynmsH47rdcsV7yBijtvDt -uWM7MLau5TntI+PisWNu34Lb4WMzeH8RISPkvZtnu94OXJXvYk6IkdGbNOst -lNYOPo8+fXc5SMawRs01lfJ22HLZuNhSiox+zr9unu9oh1ljwfo9MmTUJjOL -uz9vhzUhQ/4iOTKurrtTIj62g+H7CQq7Ahlvz0t6pq63w0RaX7eCEhk3aejs -rN7aAZmnBXbLqJDRkbGhb0CkA/KWqM3LqmR8LBUbOavYAXO35isTjpGxuaPk -+D+9DnASsV9ZUiNjY9dhdn6rDhAoOpYkDmQUsCoZlPHpALMtnBKHNOjrlY/e -0IvoABMpB491Or7VnqZtf7cDdrJrXUzXJGPmba4/hHy6/Iwny1u1yHhX6EDO -7cYOWPh1V/M8Hft7zugXDXXAOttmJQc6PhJ84mPHTAeMPucYP07Hz9UNI17/ -7AAfh1tiS/T1HDr+7PjF1glDhY+EA+h4mPVU5pY9nfCjTK63nS7/ALu2uJh0 -J7z2OyPwlq6vdfe7PNDqhPjvPwSo6nR5JyWEzEw64Z/kvv5bdPuCwriTfVw6 -QVmUJipIt98mNIvhGrETFCa4JMl0/0jKjDtl3OyEXwajkwXKZJzKruqqy+gE -MkFA/Yki/f3nCvuoVZ3Qe+m7XqA8Pd6Ui/4fKZ0wsdlww3Z6fIyCJFo2vOyE -Er/DzpelyfhgJoVl95dO0E2+H1IvScYOzirtI4xd8MQvTrFLgoxjS75hBjxd -0L+ZOSPjABl7H45VOoh1QdWljbWG9PzJ/jv5hqjaBXaEm0SKIN3/+26yJp/q -AppB+qdt9PxrOaJ2uMi2C7i43Qol6fkZ6qFt2eHfBbzsQtb89PyN7c2/+Sq6 -C+alOpjGuMj45ZRz99KDLoi9apRqT8//4l+X2TYXd8Ei05BYKwsZuzq+nRZt -6YJD1Yq53xjJKF/bmKI22gWSd+L4vq+RcHV45ovJbBesZfUS2+j1t4fLQdfr -Txfcm/5FdaTXZ7Kf2pNoTgr8PcW19wW9fp1WfbekC1JAbp7bYs8HEt7MZyA+ -laPjSuY42WnSf/yUAv8Kp4v4XtLrOVDSec6MAndHC9oG6f2DO7lnlsGDAmsS -tn2mg/T+8rzFY+cVChwqZaSUUkh4RWP7H9kkCog7JFSPt9D762DTdf0cCnw/ -yX6PVkfC0+Ht+x1qKRBxwdv9cQUJlS1FOoh9FHh3r1VOrZCEJPPXrsmTFNjO -yrBQkEXCneTvO4q/U4At5+DD+RQScnbZdHcyd8Ogr6bqn9skNJcXvzrJ1w3W -nicGxq+TcJ2ir/H7YDc03jtidD2ChCthlI1b1bvh7bct/ZuJJHy1eqJP/Fw3 -PCIaUO38SCg95hqn6dgN5arWDLGuJFziVDxuEdwNOw/rmIbZ0vt7Vv5Pv7hu -mDBhoelfIOHIvY6M64+6YbE8JfTDKRJ+/Bitk13WDbZarDqmJ0jol/pttrG9 -G8RY9Y7dUyWhTxFj2NizbtBmsDMvkKHL31W//cs8XT+pM2kJB0hY/2FnOst6 -N8QmcG/S2UNCNm4hUcGtPVAiWpI+vI2EPalD2UoiPSD+TeCiJCsJf0aKCpxV -7IF/Xy5pWKwR8WGfcKKLXg8cFI4wtPhOxDIfynrYxR4ouhYUJknfjxUCuB0f -ePdApKjGq2H6fi35jKWjPLwHqn5O2egMEfH+3Yy9vXd64NiKyaZb7UT0q37r -M/OkB3YdyZwseErEJrmBppWGHjj/uGP8XiERCVusmbmHemBas2nNNJ2IBbp3 -Txya6YEuvniduSQi6k0HXj7+swcYhWXrDaKJePHFWrklWy/csS0yvhpKxEmp -Q1P+u3shfHSd95oHEUemGVjiD/dCG0GU0cGGiP38VMlsTfr41KP7XOeJaPl7 -0bzRuBdm46btE04QMYzscIPm3AvzpG82M4pE1CwUoXwm9MKt6t4ENgm6PWFH -WDfe7IVxeZ9Fxt1EjFxPOrU3oxcoK29iBjmJyCas9VChqheMWfee9fpLQJEv -GgunKL0QZbHfYPorAV/YJWg7TfSC2dKvELFpAu4nS+VeXuiFflryuAb9fMii -sZvzHkMfzK6ue0i1E/BKgVlIKXcfpLnKHVmoJGBs4/uPlAN9wCAgJxmWTUDZ -oDaHNyp98I9//cJsMgH9nn+ZWTbsg8cWt2sEowio/cbNZZstfb2ZJR3JQAJW -3FJckvDvg4FyIU7OSwSsnTsXrRXdB8aUnUxoTEDTuUYBywd9cFnkteTJEwSM -Twhu8i/qg1Nd7tfSjhDQfPKqfTz2QWNpJ3+fMAHraS8351D7YHjq40TvNgLW -+EVh0/s+iDR9OfSIgS6/9nLws+U+eMV97/fxr6Hok0NR+LqpH2h8AuebXoei -jKrtCqtgP/jY+0yx94fij5XtuE+uH2S0bIUO0vmCoEcBWeVkP9zf2PJA4Eko -Vt84oHjerB9yihJ0P9yhY5uYOTf3frioNCQVGRGKQjP9yRGX+6Em57LeTx86 -X+H5rZqa2A+1vx+lqFqH4tHljS+rsvvBRkpqv7FBKL6//idg4Gk/FGlLvtVU -DsW1oRG22d5+yDqe8oJJNBRJHTfv/n3dD+r7CcwPt9H5jquMAN/3fgif63Zi -/huCTc016dLMA+B+K+af1scQDOoQ2q3LNwCMe2oGTZ6FYCbB95btwQFQum5M -O9YWgqrTOf9C1AZgx6T91uXiELo+zS6JZwfgPs90eAyd/+VgY1++wwC0HR46 -9CkyBAlK6eJtQQOQJCm1ScQnBGttnK5MXBsAzi2r+6QtQ9BRefvwYuoAHBxV -9uTSDkFia+auTWUD8IU4+7VdJgRXVvhsRNoHwIyNOd9wdwi+e+f76OizAfAI -SbyfvzEEj10pGzOaH4D9/TebJ74G40rPKKvH2gBEMq3tejMejPs7Xx6J5BqE -WIHx4rr2YNRWMLBJFR6EGCLbjHNxMM5NZidUKQzCQIiH8Ke7wcjeNtfRrzsI -VrwbvY+HBWPRJO+/d5aDcNSYSvGh83WqvLT6utcg2MrRJP3PB2Ngh2z4jvBB -GCnjSNM/GowpNwT6pO4MwrWhwN2/RYJR89ZPfu0ngxB/c0dOCGcwuvXXuFs3 -DML4wrzq0FIQbteybw8aHISAhcVXK6+CUPX7b8GE6UEwuSFzfbUjCN+NB1/N -WxqE8IHMkyNFQf99vx4ChhI9TlJyEFZfUDR6sWsImg+LTq0Sg/Dt54D2b1JD -QDmj0HzWIQiTGh4ps2sOwZ5thHyCfhC2tJdVCBkPQa3fj8ehskHou7FEVtV5 -CNIDMrJP8QdhRtid6vOEIaDyRlb9+huIxgrO6u43hsDQPGU44H0gxoqI9Ec8 -HoJtxz4sU/oCUcWgxzq1cgj2o7PUt/JA9Coy/1XVNQSkmT1eC/cCUVSHljQw -PgQCuSwNSA5Es90q8rOfh4CTQ5TH2SEQt0rETvz9NwRaW0JC3+oGYuQB8bbr -7MPAqsBpqHA4EP/IbuXn5x6GNIYvny9yB+InxTWv7D3DwOS796LFcgCaK3zu -kjkwDCrRDx9IvQ5ALak3gk3Sw3BS41LOs9YAzBd4EaqnMgwC6eQrJrkBGMdO -o/OzYejOfCtWEBeA8wujMvaGw3Dc4F4azSsA2/qe0/naMCTdv/+Wdj4A+bOm -PhFshqEs7sOvAsUA/Oj/SZ/Vla6PUOQL010BqKi+WnDbbxgcrLxiX6z74xoj -F+c+0jD8U8tlkZn2R0084FUUNQz27YeMrDr8kSlIa0Q5YRjuLfz1tM2jjx+w -V+i8PwwpjYIXVOL8cWUo6uG5zGHwlbm5Zc7DH6X9ixkmC4dhp55+sscZf5zm -Gnd2q6brx2I81yXrj1ty2YZ/NQ/DhEshx29uf6xVOKYS0T0Mv73Prq799MNX -zf5ZXNRhmN59vP7Fcz+8rFm6JfUlXX+PCJ24Oj982PSFIP5+GGTst2VtS/FD -uSNyc1VfhuHG2ud+L5If6mWGmmouD0PTUd7ODCs/PMyTWT/AOAI6HL8PFqr7 -oVJSLLvFphFoPP/n0XVBP3TYI3V8lmcEtu/YK3CcwQ8rKxKc/QRG4Ow5+8KR -N74oalIW9FdsBAJZe04qtfpiM1OCT5zsCFxROrfgn+GLoc2HTHiPjoDX9EpG -zFVfvBAVvT/zxAhob+xy8LPzRQuz9Emp0yOwIatCTl7TF68qBUXUXRiBnPqW -LQP7fLFXeBP3SbsRkDb89vsYgy8q77K+Mew2AmkXNL9ETPlg517vRcuAEWB4 -Xfk9o9kHiVLqWh/II3D0lc6Ge4980Eivj+QXMwKWJuuCDiQfPO3Lm7l+awSc -dWn6LJY+6J0jWBH7cARMqvvDw1R8sOL9bBF39giIp85SRvh8cKecR1Ja8Qh0 -/zkuv/TJG3PiSu0lno4AS+n64mKeNxp/Ldtb1UL3j9lKX7qDN4rZ+rSr947A -3JIKrgh4497XC0Y9oyOQFNXYzzThhUpOEkNGr+n2coQv1Sd7YcCqsMLk7Aio -h8cp7jvjhWOpE1Eu30bA8MtY0hF2L1TNTNj2488IqFEUTi+2eWKYw1Xxy0xU -kI0mFpuTPDH3TWkv+2YqKB7KK3NV8MSynbuX7/BSQa6m9oLwFw9M3dJeJryP -jsXKMiNyPdAV85ZKJaigfvlG4g1rD+SX7GlXO0IFnYaz+zV4PbDQWEyg/xgV -jCf/WKQMuKOAShuTpTYV3D/EKqRHumPg+IOAj2eoEP5svdLgqDsWKpT4EMyp -kFJo9uzxdzfsOPXvN4cDFVqcHqSm5blho9ANjlQPKjCwtbCctHLDh+UXnkgF -UeFAQj9P8nY3NFu3HsErVDBda+66TnHFZeasqPPXqBB95t4eSZIrkrpFOt8n -UaEm1oQ3UMYVp3SmE0JTqbCa96fS6Z0LHoh4+X5zLhUUiiN+Mtx3wTOE7ZTM -UioE3P8xcdzABS2koo8o11Gh1lXPUfqvM2qnKh4abKMCi1BUYnuZM/JQREoc -+6lwtjnXnsHBGbuKTzWujlEhXbPk+XseZzQ9W2Vye4oKywUp3/y7nLCv5ALh -0Dx9/opXcUGwE9pWSOi0LVJhXiJ/LU3cCc+c2DNuskYFNvm+/kvjlzDVUVpm -duMopPOP7f137RJqczsY+nONQvXzrq9uqpfQ4OxTqXX+UTD1fayV/9ERS3dL -0iKER8H1vR1v/UNH9A5sBVbJUVg9ssn9kb4jxlsF+8QojAKnVaqG0YoDbqSd -cmKGUciy3XHvZb4DvnpxQuiy7ihUqAd5KZo74BaPixlL50ZB43dzlwObA6bd -SJ51thwFo7iv6Q5P7f/7fjAKjMusKwpO9jhMdGo54TUKmzTYxiZ47DFYl8Gk -JHgUku2/yZxvt0NCVn0Jz9VReGTTsjnV1w7H4+8MBMeNwkGlEPdaQTu8y5xY -/vz2KMjO8+nnDdhiCUexhfyjUagKTM93IdqiSPp8943cUcBXW+LWxG3xV6v2 -2tvSUYg81v+ss8gGD/h3LCnWjUJ0gH6KEqsNllXYV0S30e2zu2932s4aH4QJ -KYz2jUIGU40ER4MVTr5iIO8ZG4U0i6wfbjxWGEZhiLOfHIVsJ1v08byIjt6f -3Mo+0P2fesxGutMSPZmuH972fRRSfWNy6ndZYkrEFC1yhY7fayRt97LAr1/n -rDYx06B02UVUCc3RVTevJ3szDZ4W/LMW32KOHDd28Z/jo0H7KjPMWpjhQJOW -3lYhGrz5FNLpk3MB6yYErWYO0uA7wXKRsmCKPW/LjSjyNGDLL+r8dMQU/75Y -lkR1GmgEecCrYBM0r1+a69GlgdXMQ5sHdcb4MiYn5sN5GpAXlA6I/DGi9/dN -7PxWNGC4f/x2qKIR6n8Q9bR0poH4dFNuqu95VAv9WVnuSwPjnlzb+IJzaPw7 -9PUuEg1un/3bojt9FpPsKufvRtOgM6iDMrLjLP58mvFCNJEGv4IX50/fOo2k -lRMFlBQaXNNQSbz9zRAlJR5Zk3JpwKRjFeVraIAMJwp+Hi+nQfh16665LD38 -o+/hLdBIA8ktasZ/fukgv/rbvk0UGtQNLh/OOqmNVnu5NnFSaXBqINF0NuEE -ds19lRR8TYMjHGx9bWNaaPo4SvbkHA3GIi3jlfk0UeO4x121HzR42Vmprdys -jrXDME5Zp0HH7QzZSJ+j2KDLsPKWdQzy/MPGjU8q4+nC4uXEbWPAdOmsesEx -BfRY1hkb2TUGfHRWEWkqhztlhhKyRMZAfSWa3CUqjUbnj4uzSo7BCZ2Bqhtv -JXC3TXba2pExaHv685L17H70Nl1cjDg6BjsMGRKVD+/Dc8pSoo+0xuA9y4S7 -chAftjKbKOjqjQFv6NfzovJbsLnBVSTqzBjozzu5/xNcb9a2cv9qZDIG//v/ -zv8ADzMLGA== +1:eJxdenc8ln/0tz1aKopSRqIUqawojpXRICtkZGVlz+z7vi8RFSV8S6KMQvbK +PvZet5FC9mhooaHIcz/P83v+ee4/rvv1fn3OdT7nc8b7Oud6XYLWbnrXGOjo +6BRol//9////3hRuZvE7LQuZ5Eq9PVwMKONr1V3DrQkPvfKiKbgTu69HujG+ +0gOBh8v5VgL7MeHfFrPzfSYgZ5TAYrj7IA5W7L88+tISvEbFBsSXRNHo2qaC +1Oe2IJ8znvxux3F8T+6qCnzjAJILQmkhhpIYxmHrb6fsAk/57H6uNsogX4SD +d+E3d7ijl/FQx1we12rZb54y84I5ylRkqKAi2ufHu2rO+MCE0dY5NTZlNDbe +cmLq5g2IcM4Uk92liu0VLl0MaoFgvVH/OEH2LHpweR+4szUEpJ14dI7baGDI +oPOmY44kqGBxsqHe1sKq0726cu1kWBose+NfeB7nwGphQYSA4cF/eaKDF5Fl +6kCnHCUMPFlU5ieXdXAPP9f6sdGbMCGlhDpsurj3j1Rw64kIMNkYqzq5Sw93 +eN48zxh+C/Ktfzk8EtDH3+HMDtPDkTBmEdNCHDFA6qnSfttDt2FyOX3w+0lD +TIqIux3lfQdqBE89mJG7jBZeabH6eBd832symIARblqbWkDWGKDTHBbUUzXG +oe2ZzQsi98BWaeZ7z1kTFFjyVaxvuwcZVGfPXvUrOP/M3MLZ8T7U/vVK01c3 +RQEBM+EPbLGQVfs7zFTNDF87ez6QfREL7nv/7l5QMkeWW08zjdQewE6OQJOf +py0wz2PeVmvyAUQl3bgQKX0V+0XV2rYExoHr1Oqv3t2W6FBQ0f+MKx7olH8K +7o+1xCBmjTC23HigdzlxMGeLFW4SXRxTUksA12sv/wWFW+FW3qyxcyMJoHbE +tjBmwwrvjAYTIm7/QWSV6ZlZP2tsq/P/HMb4EPrnbB7UfrXGnYI5MtwPHwL6 +aGdb29vg1TWuq+/EHoHGdV5y37gNlumV2A3XPwLvlg5utsu2yHEw6iKzUSLI +RZi5snfboq97Aqf9YiJk5PaFDapew3HZt+Vr5MeQLXfI1LHyGhoE6Cm0cCeB +4VHL763H7bBLluFZY24SJFECNb49t0Nd9/czK6pPIBz8zaf32eMbIWaWKyNP +YLO1iWRyrD1e1zNmXXZPBumPvO1CbA7I/n/ikwJ0b+r4vIIdMFMg9UVdcgpc +O6opdWfJAQ9bXkj7s/cpuMwWsrvZOyLps/V0nt1T4GL8l8w75ohm5Vw7goue +gmmE2Mr9S05YWWclce3fU1DyUGDvb3LCZJZzCvbnnkFL3fHx0VPXkSmqW55I +eAaffFi88nOu47dzi4cqpp9B0b3a1gsCzmip9ZyJXSIVeHaYjBU/cMZLN//2 +eQamwr5fQwWzLC7Y/u/TnZ+tqdCiIn12wt8FG0r9TsVxpQHriu+jtEUXVM5O +HjhvlQZfNz3OPXHVFQ3v/xXflpcGe+jjOR2prsgjIMrd9ScNpC4Zm4uqumHk +5UMxNzXSAX7PRnmWuGG61M94+bh0eBy0Xzt1pzu6VcYf/TSZDvdEc9t6z7nj +9ALzpf/EM+APRbC0nuKOrDXqjBCQARO3AjhvVLrjaznjs9MtGSB3unxm6bs7 +6lvI7yZzPofNiSPCJ0U9MPDIZx9ey+dgkDH1VtrSA3WT3W0Lc54Dl3n3xnqC +B41/mqZUVp/Dxfon/0V1eyBr8IfPvWdfwAZV79kooydOLozfMo59AcIxi/u+ +y3mi05/0orHxF9D022lTp5snJpecvm52NBPebOtxdMzwRMrutKJhv0ywp+46 +3TXiidsFRm9pN2WCs5JKyDKHF16gznyu254F7031JSfUvFDqSM3UMfMsGBXS +uhLj74XtR+xtH2ZlgfpDoWXGPC/cNDDls/4jCw5XTK+fmfbCPwfEd1uoZAMl +PDxAfrc3JuzVOlsZnQ0GdByOa1reOF4hzbhzNBuSRYLaKcHeOEC3rHPt0Euw +WO1+3F3gjbqnvjSVeb2EvMI9oyMz3iibFfeXre4lZN7ypmTu9kGK/vyy6ZYc +uBAyfl9GywelZGdy841z4GGsEfvNQB88b3BblCkjB+42T7x/kOuDLdnjdibf +c+Aot99xmwkfzJZ/dy1fIRe8IvZPLm33xSXGCBGWqFyw2T3wS0XFF5+xjGWa +v86Ftcb//PS9fLFUZWyx9EAeKN67fvVgui+eLI9Y2uaWB0cCtfNLB31xt/14 +hUNVHmCYkj0rsx9a60yqNLLmA12ucsQeaT/c5nH3Lp9BPiws63Is2vqhUNfc +o4Cn+eBn4rEREueHSZYf7IcX86HgXYpRf6MfKnJ3BSbuK4D7pHfc80t+OLnX +P71dpQD2qRxRqhS8gbJVf8VXHQpA90B4n/alG6j8W59HNKYAjgt+b0sLuYFr +VLKNSWkBlCpeF63IuYHOmjc5okYLYC5g5Xv0yA28a2qxr4q+EKqH7hwQZvNH +281boxYPFYKijlRVoLQ/fjK6b8ynXQgOCx+rYq398ZDyp4hL3oWgkFxw0CnG +HwOufhunJBbCDpfAvxtV/qh53vdgaR3t/ovK6nrv/TGOKdh4Yb4QmhQZmK24 +AtDkNlPgnq1F8Ey5VlZMKQCTJ7bcOS9ZBK8NfcaLrwegBX3C7WCTIrAPPLT6 +KyEAE7+l+OeHFsHFosGI3/UBqJt75PJURhFE/wmKKl0MwAhZGUHOriIQNRCg +l+AORJmYhhG1pSLgwdpPtsqBaF3SS/HlKQar08bnjK4H4qYX5nsyFYuBqX1x +H0t8IJ60d05+a1sM9A5BNh61gTiw8nfH5tvFYMjDyvdoIRC/6WzxPlNIWx+5 +cyF4exBG3XjS5DJcDCy5W7/ulwvCZNd8xpT1YrC+H8kcaBWEx0+qnOgTKgHu +CLp7cZFBeKbR8CL9uRI4GO0Z7VQYhK2CH41OupfA7ecTdOtvgrDr4sYlm4QS +0OzTWDhPF4zaGvGn4qpLwHLzS2WjQ8F4YVvOtubpEugzYt/Orx2MLamqAz/Y +SuFhqbVemncwVjOZhYtIlEL5wVdss4nBeFzqxyEjw1KQymCRnKoLxtgHU00R +gaWQ0loknDgfjCY3lx3Ln5VC4r790tu2hCDBfpT7Q2spHEg/a6VwIgS5BG51 +7flSCqctxLIPXQ5B9vZNUee4ymDqHJWjOyAErzIV6wTKlwGnk1SseEoI7usn +7c+xLIPXVYYntBpDUOGk9/JYeBkcUpL+zL8QgrUH71K35pYBw6/+5sJNofgi +q/OV4kAZuE0eq/onHoordRLP3VbLwGlNs5tFNxQznCqSnvK/gqVzgmvtXqFY +9NzhMfXsK6DveqWhmRCKR3wUUhmcX0Fa6JYCcnkosryWLZSMfQWvr/FL+46E +oka7UZtt+StICPn2WngtFD9pP1mIH38F32fxXNs2Ev6wY+NoZSqHyTS7U6b8 +JLTZ8Ujx95Fy2B3kXNIpQUJpbR1fUd1yiHWh1u5RIqHT/qNlV/zKwfBGvNHZ +SyRkCBX7d/tJOVgklYdoW5KQzktfu6axHAreyktJu5PQajXl+ZcP5aB5fN+N +1VASiuzcySqwvQIOPzU79ziGhHo1WW66MhWgI7qWvTeFhBU3ul9TzCog7fIS +xS+PhLINMydLKBWwpVimqrCGhH2PFom5zAq4rdiu395FQvLGQvvu3grgW826 +UDtKQs3lISbNHxXQMv0m495HEs0/ZVL+vJVw86/hVaVVEgrdjDTJVq4EY1VB +/25WMkoI6XiN2leCYqX8suxuMprpsFK2RFeCgmVqd+hBMiazF91UKKkEHWlj +toyTZPytqxPiOlIJfnJmaS+UyOhweOJ6Cl0VFDvnJUdok3HmjpV2n0gV0PVq +/1EzI2N46Gth+otVYG+pUDbhSEagU1w64VUFMwIB/UZ+ZNzO8bDY+lEVeG9n +1skNI+O/l7OOD7AKeCXGj07ep+HXQrua5qrgdRCz47dkMvLcMyxd2VwNGasB +LOMvyXhh2P+c8MlquJOhyJRVTsaEnHuDhsbVEE66ZK3bTLOXI1E/PKQaEqIK +BIapZPShT2gtS6+G6kYLkB8nIys57PhCRzX8OGpaG/CRjIXR16K5v1eDWmPG +k4c/yeh7RG5Kg7sGMiMV3z1goOAzmWqpGwo1kKyv9J/zNgpS4l/GZdrUwNMf +zXMH9lJwjwYr/dvIGshz620rE6ag/flef/aCGuisN1M6fIKCdqmMG3Kva2Dp +k8sFvzMUFLyQfs9prQYOf2H4nKZBwfuahcceH6gFpzbeA3l6FCz978jbTs1a +qAgo/xRvTsF7ctvvrbnWAhfzWy1TBwoeOGatLx5fC8H2wWf+eVLQ0UdAyKKq +FlaeZTSGBFPQluP8RvRULfiU6E6MRVD+p7+mhSGFHMMTS0Hv03Nj38QRkqyk +B08k0fbvNhgXNEBQW3POPfycZm/R8UW9AIQ/rod51/MpuPThBkvYU4TqCvv9 ++RUUlPIWFy9tQbgzdqREsZGCR3S1LecXERyGPSZedlHQpe5z7232OtDLPpPy +c4iCyeSPPun76kDD8PYy3wRN3nn/gRqJOtB6Yz528D1t4iKFDw6p1IGxZIkJ +23cKGtYI3/1iWAc+dtHX21cpuCL09zyrYx2keCzucGAgcLGYZYdAUB0MGvRc +mN1EoIQs4+tTMXXA+GJeXZGTwFy/l3G6qXWwf2PhiC8vgdY+GxecSmnYvP/3 +bSECDY5v/KO01QFLfUZB6FECSZnZ2Y9H62D4iLWeriSBs+/oL5V8qYN7iazj +dKcJJPqYvnbR18PJ7Qn60SoEmpGKI+a46qHq9tbiVS0CXT5v3fvvUD0c3eq6 +pqJLYBU31/Pdp+shPO6VhJMxgUobzUcltOuhTfDjBberBNKlC73UsKqHbyXM +Bnp2BC4zix+09K4HOu1NZzldCDwsPJ1wI6Ie/iz+4i/yIjCeXpXxfmI9jN3v +mT0WQKDCYx3HrNx6eH4m+kEkiUC+Jdb2+rp6MPksI9YQTqAci/OBkYF6WElr +y3tzh8CY4UCfpfl68LVU5e2OpcnbyTZs+lMPkwdeeKQ8JPB9YTKb0NYGkPq0 +XKiTTOCnykLN0wIN4FYhOvYujcBDZHeKvmQDxN7V/KaVRWAy02jpdfUGeGJ/ +6cuDPAJ1zn6fJkwa4J6G8uuqYgLlVYvZk5wbwFmcN7O+nMCTQ37HS0IbYCIj +7klGDYGb++PNu2Ib4JGC7Tf7BgJb5Lben81ogMcrkU/ZWgm8tmW8Y628AeY6 +mPMiOgmc1mLbvKurAXzqhnnmeglUWbqtKz7RABeHNiYFBgkMY3ZMPrtE2489 +hOX0GwJfECnfzZkbYcBML1JyjMCXzhLnfXkagdQb5LhpksDYOp6X0Ucbwcdq +/TnOEHgl1HTHC8VGKN45qKy/QCBj1q9g1G0EmRk6+eaPBEYofP42bNsIdANE +zK4vBC6dOeP0za8Rdk6bqah9JxBezH5gu90Iztvv6OmuEOgWNO8mmNwIWy12 +1J35RcuvauU1ucJG+NmxGMXyh0BP+5/Rek2NIGzEV5y3RqB6MNPh68ONkMTw +/JT0BoF/16+3Eh8b4Uo3wZtIH4YPPoi5JK03guWrV6bvGMNws5LGntLtTZBb +p7z6jzkMbemrOruFmkDpg+DKP9YwfCp+K2xepgn2nTC+8I49DGub8lQ3tGjr +D2fpEzeHYXXLMXYe8ybI5mvlkt4ahnzmeT3H3ZtgV5of5m2j6SscuKtFNMFp +JtczrNvD8F5jsrp1Ak2fSvZtxR1hmPqAYzUgqwnKzcRyDXaGYYKgSMaD6ibY +arDyVIszDL1cps/l9DYB32EG+/1cYSjpp/axaboJPrwx3Oil4WHFC8S7H03g +bvfV0WpXGNo0re76ydYMhX09z6k03Md6MXXbvmbI4V4pFdgdhiLs6ocPSTSD +zemr/2nTsEXb7AtQaYbB09vPm9Kw11lRQWPDZtjgYaWq07AviTPO3aEZFvpV +RXfQ8FX/NLrIwGa45diiX0nTL3b8rd2z6GaYGYu+pELDE+klLRXPmuGP2BP+ +LJp9vsPSAv0lzdBl8r3uC+08S61mXh9bm8HY5rYUJw3r+4rWMYw2Q7LW9YDd +tPM/mn7MwvulGZ5uuR/7m+avpi0l6pL0LWCWsxZUwRGGQysepPNcLTB4OE/O +hObfjsShYptDLcBEyWgb3hKG6f/GJwPlW2Dp1bSoNC0+tgLRrHEXW+BhxzVz +D1r86iQVjuVYtsDZj5mP79Di6++sbtrk1QJKGiL7I2jxv9WRFT0W3gJ3pt5N +WdLy48tF+7aVRy0gXNH7YQ8tf3J/hrBtzW2BbT1/pYr+0eqt6Zu2cF0LaApY +N4rS8k+qvPqxwkAL9OQzxJNWafnXN/3FcL4FMoLeZZX9JHAfh42m62oLdIYv +0XctExjnqZAZvqUVVHqUn9R9I9Dur8e2FP5WYNXvDIz7TGB0Fl3gq5OtwLsr +5okGrX7+73zaCiSuuwwj87R69hGzf2/cCid1m7PP0+qPM659ns65FaQ7ZROS +Jmj8MlznvCe0FSIos01dowSGKu1cPRHbCof8OmXGhmn82lNz+1xGK3BnLX7q +GCBQm9J40Ka8FS7zaM4+pPHDKVOhpsDOVphvesevTuOPIJN3jnHjrdCYl5vc +30LgnuDvu3K/t8K3oVeWijT+2dJyta2ZqQ2c5deuRdL4yUTqMHmcuw3kxgLy +imn8td56TunXkTYwqJKUr6Hx2x9SK/N2xTaoHRZhf07jv7G/ap2Hddvgrpqx +riuNHyWGHKOUbdtgmKtLc3c6gStbZFSv+LVBoibl6xMavx5Oy/rhGUVbnwg4 +y/yIQOp/Tc9uP2mDeyOl5y48IPDjx3CN9II2aDglueF5l8YXSd/mqxvbwOXP +krV/BIHuOfSkoddtEMf/w+cqmbb/3sqdXz60gXSm3CnhQAIrF/aksKy3gU5c +XVaHN4FsnILC/NvbYW4mskPHlcD2pN50WaF2WEmITyyxJ/BHmDDfJZl2IOXO +7Fm1JDCx88B9B612IA55a+6/QmCBe+s6yawdGJg1RAT1CZT25rR95NYOq2fN +ipkuECj2mqWpkNIOTh+Lv7aqEfgw4dn+jvh2MFq6OOqkQLO/dMZ9OrMdqs2P ++H6WJrDmZHfNn6p2SBbTqtM5RmDANgsmzl6aPovsivsiBGZrJqgdnW6HnpWL +1sV8BGpN+YSo/miHfV8kK0t2056/b9YKTdk6YO6cWX38NgLHxY9OePF2gOjm +Vr/LLDR/TtGx3DnWAfPHvN/9XKdgF0+/WLpyB8RFZe/3+0FB019LJtUGHZC1 +VGAzvEhBUrDN3UH7DjhoH5HPPUtB5ZdCrYsBHcDyXpz+1CgFH5IkWZmjO0Db +J934VD8Fw9ZjL+5/1gH/tn0q5WmnINsBlUTpkg7YUUq/5y1SUOiL0ueLrR0Q +Y/+e7F9GwTdWMep2Ix3gIZLybTWHggeDxZ+HfO6Ayu/C166kUZBFiXfLf3Sd +4NQeNJH4iIKh2cY38jk7ITQ37WpVDAVvVc99bBXpBLrkxLmqmxQ84dtgMynX +CdOJVh5JgRT0HP4y/ftCJ4il/2Yw96Cg+qSTww7LThivuJq4bkfBonsyK6Je +nfBjNEE2xIyC5e91w1XCO8FzU/LIuC4FL7+v5jN91AmXz/oQ/LR+9E6MX41X +Tic8vbPvpBKtXzUZJ1vfwU7Qm3wwr0TrZysHR7dm9HeCo9LoU0ERCpZ53sSa +uU54n7NkOU3rh9XLQ/xe/+6EbuEREYKDgu4ZrdJfN3cBz8v7S4xMFDwub/mH +lb8L2s/sabL9TcblPztR4GQXUIgjLRmLZOR3zg6WO9sFU4dChVonyVh6V0RG +z7gLFkS5x9oGafhqxHun612QELn0NbuNjILTXXFESBd8VN9x1aWa1v9z/ZJP +ut8Fs1ZeotsLyHj6N/NoSXoXhI3w6DxII+Pc7VXv7ldd0FVA3/czgYxrvVS2 ++Y4uqJkVz5GPImNQU3TCv3ddoOv7ZMYimDbvOB7n4/7eBTHXLvnauJOxprYs +RYKpGzyL1G01bWjzQpMgryZ3N9Cbk3K3XiZjaoDHPcsj3XDi2rpusSYZ5acy +Nm4odAN7Z42u3On/bU+tw/1L3XAruj4nRZyMGVjdmWXTDbn5bDbz/GQMkE05 +3ODbDTeOPfDeupOM5VftQkciu+HLJqspLiYy2p7a2beU1A2sZz2z1n6QMLA+ +de/mgm5oHWvpalog4Z8/3FeFGrvhWL/FeZe3JJyd9Xhy+nU3KArLC//sIOGZ +0IIh/Q/dsDRkfOVqNU2+fYDVea0btGcrP2bmkvBg86hkGEcPXLpk+bo/mYTq +0uevJh3ogZJvMvLvaPPr+/H0mBLpHpBMEpNsI5GQveF9U5dmD/TqKdc/8CBh +zvjujVnTHojk8pkFaxL2S0korrv2gPlUa1KXHgl9mk5QdlF6QLtC7qOcKgkf +3+XrFI/vgStJHV0RkiRUvveDRz2zB4ioALUyIdr83VV23aKqB9oILd1mThLu +VLFu9O3pAfFbsssljCSU//6LP2aqB/QkIg02LYTi7Fs/8ouVHoiwnVq83hL6 +P++ve4G+wC8/OiMUS41k9N/s7YX0HReSPMNCcWbRu/GbeC+ERloUc9uEYmzV +k1Psyr2QwJ2/EqwcinWNBUWCBr0wXaVgmcEfih7MeSfk7XvB3nfTz7vrIfiM +FF+qF9ALhzR2lcmOhqCBtL3i9bu9sO+YxdPU8hC8JSTURTzthXNH50p64kNQ +7ny7RVJxL5QpZi9XeYaga47Jz5KWXjBwyDVz0glBYY3B2O63vXAk88vXt0dD +0JhXTmp+sRdO/3PJ3cQWgttFb4382+gFitPR+LWZYAwTOdxwm70PMrblDuRj +MK6e2M7Dw9kHalv84w8+DsZPMmuu6fv6wMwmZuSKbzCaSC+2HBfpg++c31L1 +dINRRXySv0aiD5j4Hn/ZLBaMWXxv/LXk+uAe+V5NOEswRrEP0uazPkhQ7ONo +nAzCD58Hjltf6AMewytzdZVB2NA5TJvX+mBv8zFFUlwQ8qRNfAq42gfx0Rf3 +0rsG4UevT+dYHfvgdmGZv6pGEMoo/s1+4NkHjMeczDQEgnCNnmOLQFAf0DE5 +NGxZDURlFHHNudkHDpIFLx9QA5HRV4V6KqYPLlWr8Ixl0dZFrKWbH/ZBQTI/ +2zw5EP/03kzUTaXpH1EPLDQJRAmvXLrxl30w71buoXgiEKc43to7lfZBpYX3 +pztsgbjtOVvfz9o+YHsR8D5pIgDLpc/IEW198Fqz08G1LADHar3SOPr7gFfN +zpn+bgCGKOdvSxrtg/GH55cNbQIwseZLwOG5PjigEbh+XS4AT0qefF/ypQ9G +tH9EqXIEoFaq/2Xl333AUVDxeGzWH49xpVZ201Nh8JZJuWylP8rG3mK/spkK +ar+uORrG+KPNPnHVeS4qGP0dz5O29cfiohh7Tz4q7IhvuvXmlD8KGxb4/jtE +BZ+m3b8UtvpjLWOMe9QJKgRFDq1em7qB/rVHDXefpoLoLN39S6U30Ohm+MFU +NSqQBx9Wr9+6gVeMU8bFtakQeOVJkIvZDSTL+hIVRlTg891GTZG4gR0HNnOe +taKC46HFijiGG3hqr8XdPicqGLvKyF4Y8sPm/W5Lpt5UoDv/WbPlhR8Giiuq +LART4UzN9q8MAX6or9UZ5BlBBf7mp6IMF/xQ22N36vo9KhRYP/nduN8P3TL4 +i24lUmEynsVM86svFs3N53CmU+GVxZh+TJ0v7jnpHJucS4VTNXyTD+/7YkZU +vrXoKyqYFnbTXbP2RYOvBftL6qggcvpD6fJJXzxk6d6o2EGFh1c8NtQYfXH/ +u8/67QNUKOGwe2c84IOydqK9+u+o4HWlVUcizQe9/x6QHp+nwrB8/OU2Tx8c +Shq56fCNCgsFvd+FVHxQPjVmx/IqFYQVgmgPcR8k2ZAPhzD2g6Dl08g9k974 +fDK/g31rP0zvOSNRmOeNBXt4f8fv7gcTJ50qlmBvTNrWWHBAoB+idUfE9573 +Rkd8sZIv2g9hvWPhn3i8kUesvVFBsh+kZi+3BMx74UuDQ3xdZ/ohNUZzsbXY +C/nkGhhN1fuBSi383U/yQp+3j7w/6vRDZXbsp8cXafLSee4BJv1gufdT04G9 +Xth0cePXJpt+aBeoCrOf98Rqwbubkpz7gb6W4ahTkScmFhplivv2w+T3+ldH +QjzReN2CiqH9QK7+fSRLyxN/M6Xd1Ivsh3f7X4TNcXliUJtQ81xsP6zs6m6a +mPDACY2pGP+kfhhMd/r0X7YHihCjc1uf94NDR+jvrT4eqBOwszU1n2Zf+JZF +ZfDAK+LhkqcqaPa83d4iye6B6kkyR3sa+iGx8U74TL87crUK5dl29cNBNZK4 +bpI7tuRerP471A8upp8qg6654+VLJYYPJvrBj73nmN0xd+zMMwo4+qEfvJMu +7eT94oaWRaIaDUv9EMjEu8EQ6oY6avveGq71A9uaYMwzDjdMspU4Ps88AJuJ +aw0/U1xRndPmghfHAEQ/mnvIcNwVz196Jb7OMwAZkLqzGV0wn1dskDgwAEd9 +Hkso6rigm089sIoNgLg89avLuDPeMfdzj5AegMJoVX0DF2dkHrxoxwQDUOO5 +ZPL973Uce6MmGKI5AErz7xjPRl3Hbc5mz1Z0B0D1A7OuDs91TL4bN29vOgA9 +gU7K2587/c/7gwFa/7Z5KELSCfsC7erUXAeAovNhc1mdI/pp0hnm+Q1A+D3G ++cSLjhiQVpnHRR4ANqsrNjIjDvj2Tny3X9QA7Kz/TNyyc8AEpvuFww8GIL6g +RuP+kj3mbcq9IvWEhiU68i6F2KNQyoe2u88HQFCRs6KF3R5/1quvzeQPwIGx +h3bLcXYo4tW0IlMxAGnspq9e89thQZF1UXjDALxqMXnpnn0NH5EEpQc6B+AU +Z7xKvdQ1HB+jC943RMNftwS31doiqZUuynp8ABqu1F8J17RFW7dPTgULNH8O +6I7rUG3QhfH2sR3fB+DjkPmmAGMbfExMDIb9GYBV67lxpnFr/Pr1vflmpkFw +CHhv+s3KGh01X7Snbx0EgX325DOzVrjp7l4eXe5BOKpppfPB1gq7a1S0tgsO +woN/r5t/zlhixQi/+fSRQTgLbbO2VpbYPlOo3yo1CP9xORnbV17Ff29+i6Hi +INAq+1RLngWaVK68b9cchN4QBsf5FHMcjciIWNCjYb36j613zWj8vpmdx3wQ +6M/41TjdMMVzC8IupvaDoCt3cKrn6hVU8P9RXOgxCJrn2wxXVE3Q4Jf/u71B +g7DuYcM7etAYY62KPySED4JS7o8TBIMR/nj17I3w/UE4th7yaHHMEIP+qGW3 +Ph6EYasNXe4SAxQTfWIR9HwQdo76mjLe0kc6tewfqoWDsOQwV1pgrIer55zd ++Kpp59183opfRBd5FGc6N7cOAnFTpyp5UgfN93Ns3tI/CGL7fxmSXS9iy/uv +YvzvBuH++J3Y+R/n8PLTmyfOvh+EN23bPXp8NVFJ1TlBYXkQTDMCPlDp1LG8 +D962rg/CxpmG5cg0VazSpPszwzoEX2IWYn5aKKP2y9zf93cMQdLpoUAJO0V0 +/q0xRN07BI+6E/6OgDzuOd4bkyY0BEdec6Z8PSeD+nqqh1nFhiDZ4IKdS8RJ +5L2anrwmOQTZsaTUzI/i6HZ5aYk4PQT7HCdQU/QQ6p4SF36iMgQBR0sPVnUI +YD2TobSmFk2+hDF148kurK1yFLqpMwRqI7s4Td8wobr59a/6hkPw/77f+V9Q +cizv "]]}, { Hue[0.6142719099991583, 0.6, 0.6], Directive[ @@ -365770,202 +365771,201 @@ zv8ADzMLGA== AbsoluteThickness[1.6], Thickness[0.01]], LineBox[CompressedData[" -1:eJxVunk4Ve8XNk4ZCwmJIlOFQpIhiWVKRIQUGRIyZ+Y4QzKrVErxKYkMSaaM -ZV6GY54dQyUKoUEqIRnynt/v/f7z7j/2vu5rP8O97rX2Ws/zXFvMwdvs8iYG -BgZ1+u3/e/6/18eqN0VbWQhqKiDKGbZDfv1vrXLQpa6anfrAF6QjnfR7C3Z5 -3PDe/NoMRF2oshE/+DDxH4eNYa8V3D/ZesbroxAOVAifG8m1B+OCIY2TWeJ4 -/vKWwvQsJ/jRr/rul6Akfg7rrCK/cQXqvdsryh0HMXKbE9FZ6wo4LH422Wor -j3tiXAOKfvoAs/fmy/q/FXCtlj3qqI0/VColVptlK6HLywQv/clAiDNIO3eA -chQtLTkOj0cFQ0jO3iM9XmrYVnGlc5MuGSiWomZaoRroyxcgfoszBLbd+/Cs -ukcTQwY8t8i5hcLy1x3vX9tqY5Vaj6lqWxisq5YY8nzVwSm4NDOzPwJ4Ql4v -dAScQJZx8Q7V8Eg48Vp8+NO6HgqK8K3LjURB3NTCvE2UPu5aUbzacjgGvrDJ -6StuPYXb/aIMN0dfB3ux7iH3OENcjmZ2nRi+AbNygykMPKex72hZv5NkLNw5 -rPN0Md4Yk2MexN4MuAWZfLe+72U/g3b+GfHmeBu2bc15/q/lDG5ZG59B1jhg -oJD/sUab4iB3dtPM/rsQ4sIwr6ljhqLzQRr1rXchtuto+IsNM5xOs7XzdLsH -EgV7X2pVmaOoqM2+L2zxoM1ODWYPOotDnn73VZ7Hw88x/g8M8hbIcv1p9nnd -+yBzSHhC8osFFvhOOxl8vA8//w6FhaSdw35p3VYO8gPQBt1aJqvz6FpY0Z/G -lwC7Npz+q9xmiRTmk5Fs+QkQrqrK+bTJErdIz77X1E2E4Lk6kTKSFXLufvH+ -1LtE+CW81v1X7gLeGrkasd/7P1hr+brLa+ICttYRv0dufgjvPteVnk6wRh6x -POWdDx/CBKX49MBJG7y4xndxVOYRsETiFOOKDb4yK3Uern8EZv9mKEO5trht -783TzOeToPLbQV4zWzsM8knkdZlNAkOj2OwAros4pvK2fC3sMSyLsKhr4EU8 -SzJTb96ZDK5HjF2HVeyxU2VTWmN+Mlzifn54Ms4eTX0+Ty7oPIEfWbdJDTP2 -+EaCmeXCuyfA8YdRJxAuoYeZJetvnxSoYWCKXU28hOz/v39SgYF638xi7hJm -i6Y/r0tJhT7NokfhJxxQyt4oY2XXU1Am2NhHJDtg6HeHiQLnp3DAJSHr/G8H -tCnn2361+CkUc9t4bhg4YmXdpUOX/z2FVv/CItJTR0xhOaXucioN/O7GB7Ut -OSLTza5jEYlpkOe8qeqbkRP+PDUrWTGRBqSljZDxdCe0N8hiYj+UDm8gtiFn -2QnPRK32+pHToVk7M+qk8WVs+/ft1lJLOhhsnGp9nXEZG8oIRx/wZYBV4LWb -q8uXUSsnhWZ4KQP+ZWp18hg7o8W9VVmuggz4w/JSbCrdGQVEpXd2rmQAN9/U -ngt/nPHGOcm4qJOZYNA52ehr6IKZiksJxx5kQuqhXG6ZVBf0rkw4+O1jJvBp -qDHfmHfBiRnmM//JPoNnf+6nXT/hiqw1epuB9AwsbcqmDjx0xSFVyxMTzc9A -yjO1z/urK5rbHeMP480Cfkmzy+ePuyH5wPfA3fZZIHa76+n4bTc0TfFxKsrL -AqOU7ZFcH9zo+Yc6rv03CxIu7Nk2dsgdWa9++d5z4jkwNPzWOhPqjh9nxq5b -xj+HyIF7Qpd73dF9JbP4/dhzkIxfThES9cCUUjUPm4PZMLMi2Rbk7YHh/BnF -w4RsaOUSfexf64HcoiPXjanZ0NL1kY+X0xON+ia/13G/gE8q7soW1p6oeKBm -XM72Bew5Xb2h+sIT2w64OD188QIInMM+9UueuIU2Hri++ALmgitvfNO5givi -svx22jkQGed8qvzuFUzcZXCi8k4OqJqOFEuOXsGxCqXNPCM5sLVWoFFV2gtp -DL9NLkvmwtrgHvJcgBeaHp2jvvLPBR2e0i9adV6o8uLBKltdLojMpgjLb/XG -cPPp39YceRDr+IG/wsIbFVUm819a5sHN4MDB96neaHg2VprpWR4IHLxk9fiL -NzbnjDlb/cqDx+qmpiRBH8w5Nnr5pXo+BJYmWy6o++D85pj9LDfzYcdKfIOa -gw+msbzPth3Kh2tcinFnon2wTPv9bJl4ART9ularkOODCuUx81zeBZCfEXRm -ossH+V3GKlyrCsBvr8CJi7980MHko3Yj60tgDHBKfsHni1y+t2/vOfsSLOKt -z9Wr+KJE59Qj0tOXEHht3S/rgi8m239xGZ59CdZqp+Ytr/qixs5OcpJQIWxp -1hp4k+qLH3cRM9u0CyFc5JPA/gZfVKlalf3rWgjUk4frND/5otayuYB0XCF0 -a0i3S7H44VpfmKNVWSE8ZepUHpP0Q0/9qG03Rwrh+CNBlksGfnjb2k6oirEI -Uta2Hy1y90OnrZw3ZyWLoFOurLM71g+/nb9nuce4COoObaZW5PmhpNa3mDMB -RXBtY1XYr8sPSRd/joUnFUGt3nLc0nc/1DcM2ltWVwTHCs70nODyxwdMVy1n -povgtxLz+4ty/mgVy0QW5CyG6dEdr3SN/THlA8ctwyPFsCMr3Hbhij/aMSbG -XrUqhrA7hv1et/0x6Wcq8eW1YpD+z4evNM8fTfMPnBt/Vgwcdb8kqB3+GKOi -LMbbWQwy7D0MqV/9UTmu4Z3ufDHE+LHl6rIHoENpT3iQQAkIrz0RL5MMwC3P -bQWzNUrg57M4l58nAlDBxTPlrVMJMPiMEpccA5C2sLp9a2wJGF6IsWoJC8Cf -JhwBx4tKoN/pNotjagDeDH5CvTJcAg/ifoS2VQdgitfLzanrJRD/Lr915W0A -yitoH+6VKIXOE62jS0sBeLzR4jTjqVLQ6tOoq+ENxBaxr+cVfEphgbLdx0Q+ -EDtPb5xxTCyFj/qa33ONAtH4ZMLRB9WlsEWpQ4XmGohGXHlcTROl4KNVbNIU -GYjN6Tq0RbYy4PZaVAx/GojVTDbR+w+VwWzlgxn26kCUV1yUPG9RBqxSd11s -hgMx/v44NYZcBvJ/Om4Q5wPRKuq3W3laGYC7jMQlziCMYD+480tLGRwJKzrC -KxWEfKLXOwXnyoDzuHVVnHYQsrdtuXmK7xV0JklXDtkE4UWmEhPysVfg80RI -/nNQEAr1hwrn2b+CVR1FYerdIFRXCPj9PvoVuN/xCXPPCcLavbf7OPNfQQ2l -z2akMQifv+h4rUF7Bctc51/yjwbhQt2hLO+/r4D/BCNRaCkIn7lXJD8VeQ27 -RXorZrkIWJzl+rjvxGtgeNLoGyNJwAOB6umbPF9DV+3I01kgIMuQStGR+NcQ -HilwUsiSgCfbzrc6lb8GoTmiE78PAb8ZP5lJGHsNSasby+9iCLjozLathakc -/uXlMLmnEtBx+yON5QPlYLCJFNP4ioBKxiZB0qblQFi9EjbTRUB34YOvLhDK -4dbD6PnBTwTcdE3mX+yTcoh82/juzioBGfzNjWsay8Gpdt8xHp5gvPQ3NWvu -SzlInszjtpcKxv08PKyi3BXQ63/eLlgjGM1qXnibKleAva6khPXZYKwI7hoK -t6mABqWtWWzuwajSMKlQGl4Bn6nzsmHXgrH30WzEVHYF0H6NVlIfBGPYxkwb -f08FhFd3GtFeBKP+70Em/cUKmN+Lk3m1wXR9XikSd1eC5MGya6a0YJSIumGV -o1UJ4j0FovUzwXhIwsR/xKUSRrnzmldXg9HGhDWc404lXPyZ58vITcQU9uIo -9dJKyLhSJNYvQcRlU5MQr3eVkHu9YuiKChFdpT54pDJUQbBW092BU0ScvHXJ -uHd/FTA8HDBhsSNi9LWhfYynq8D81gzvZl8iAoPG/GH/KnDf8+99ewQRubc9 -LHF4VAUnjAVybROJ+C/3k9t9rIKp3cohVdl0PCSxgzpVBXox5899qiSiwF2L -soWt1eBxl3LkTScRjYaJp/YpVIOlyrMd/40RMTHv7oCFZTUwUfrWRH/S+W5L -Mo8OqYYAO8YvgYwkDGRMbHmVWQ05Y4rvEnhIyBoWKT/TXg3pax69oRIkLLpz -+c7OX9VgV57VoaxIwqADquMnd9bA2Papjte6JExTrlYMVq+BN/ENjkwWJAxP -yH2Q7VgDjE3v9glfJqHgSVbGtzdq4EK2LM+mQBK6GPYQ2QtrYPJ4lVRJJAmd -0zdvqA7VwMPwCDf5ByQUM8q8675WA2HE6AFiBgnv6RfJPRavhf/EqG5xxSQs -++/A2w79WhgjHZf2rSfhXVXuu2tetWAe9YNHpJeE4nIO5rIJtbCiObr/0RgJ -3QJFJeyqaqE7n/nyh1kSOm0z3LgzXgt9Ha5dv1ZI/1tfIzA+ZrHvZSNjgNrU -+5+yCI67PwiR+cl4r+vsmNhZhCWj+c3zEmR0LpafNSMhlB/S3KF0mIzzX4JZ -Ip8i5DS2ntHVIKNigKxsWTNCJ9utVyKGZDxgamw/PYsgvHFDr+U8Ga/Ufe+J -Za+DlKwGBjUnMqaEfQ3MFKqD0xtKUyQfentPYfGaQ3X0fP3pdzSFjDyh0QOD -2nVwjNopc/E6GS1q9t2es6gDkvzPuM0PyLggsWrI6lYHn08bCwekknG2hGW7 -KKUOooVmBgpzyHhIZfPQ0bg6kJD/aldZRsZ8Qu4D0/Q6+E8oxvFeHRkdAjeM -3Mvq4P14zYRSBxnPym/8C2+tg8Vrd94/HyRjaHZOzuOROphcWj4z84GMn0YZ -z5TO1UG63josfCFjRC/Tj07GelD2fvyi+zcZbUJLYqb46uGJD+120Drd3u+c -u/5J1sPwqWez31goWLWTL4tfrR6m17mb5bgpqLnRdPCQcT203ODfBYIUZMiU -yD15qR7Ic2WfhcQp+JtZdq99QD0wyvw43HqAglL7JhKDY+rBRrthUfMIBRMY -dTbfS6qHW4cPKcaoUVD9sYnbi/x6uPNX6XuSDgX3zLO21dfVg2PSkCjFkIKq -LJ7i72j1wMG3veeAOQXjhsmB89P1cMN56k/WBXp7Z5WGLSv18O6e+eOflyj4 -uSiFTYKzAf4lWFewulHwW2WRvppoAyz5rZvOelNQMswn3PxIA9RJqV5OCaJg -CtNImYdeA9i95votfJWCJid+TURYNUDvnpBV9wgKHtMpYU/2bAD+izEhUTco -qDBIkC+9Rh+/bVTBK46CW/sTbDvjG8CqSLFnXwIFm1U573161gCSO26Z5yVR -8DLHWPtaeQN4Lk4i41MKThiwbd3R2QAiZsf59j+joPZ8rKnshwbQP5hwRjCH -gpHMbikn5hvgU+hcwEgBBZ9HpP6yZW6ERTP9MM8SCuZ6HjIMEmiEwLT0wM7X -FIyvE8i9c7ARPD3XTVerKHjhmvX25xqNMFxiyf8XKbj5xZ+raNoIxYTShqZG -Csaof/857NQIDOXc5y+1UHD++HH3n4RGoAZcoXW0UxCef/rCFtsIK/ntKszd -FPSmTHuLpTRChqNUJGcfBUOrtdZUixqhISG6/BONgn4uS3fMqI1gqjs1cHOI -gnpXmaQ8hhvhrLfu2403FFxd92iJ+NoI7fyZTTojFLz/ReZK8nojlB5jSjo3 -StdP86RgGTcVeEeczh39QEEnxqqOLgkqTPxpWvn8kYJPZa9HTitTYf89yRjX -CQrWUgt0NgyoMJZ1Y/XVJAWrm+XYBWypwKY6e37gEz0ebAu65X2oUMGgc7p+ -ij5eEe22QQQVNl6F3QqZpuDdxhQ9h0QqjFpn7+CYoWD6/W1/SS+oYPbj1Wc3 -Ok4U2//sfjUV7HzzmR/Ssf+ViVN5PVTYNBHrmUjHRwi6X6kTVDiuZSZ8mY6H -NYwiRhepwBnHIMBEx47UvzuW2JogqCPRxp8+Xy/r6XQuITpe4vtWTOezn11P -SvJQE3Bykxsb6HztWj89B+0mUBNom0qn2+N/QlrM0qIJGLZtmJrT7Q0K5X3g -49oEdgtCbAN0PS4SMxhukJvAtFWcbR9dLxn5t85pd5rg3U1uMz26nh8yS5sr -0ppgTW16SoWud9Cwkmh/aRO8Gslo/Ev3x3yLjf/XliZgcTf4Fkv3l3mQdN2m -kSb4Nj1kM0f356OJxyy755rA3cxIQIzubypHqd4RxmYIz3shvJceD4MLvqGG -fM0guzjn+YceL+1JgyWOks3gIyPE8pgeT5n/xj6SjzWDodnhL1xUuv6id1gf -nG6Gamc5fvM6CtYdUZfLs2+m5xvBI67VFCR66llT/ZuhPmh5yricgtfbX9x5 -H90MB4kdcqylFJw77dK68KgZhgnxnPdeUjB/KYSNM78Z2vxOh8/Sv5dm6k/j -fXXNwOi+dkswi4KK5dWP1WnNQLRNlRVIo8df78ScxXQzqJ1Wdvr8mIJC2xz1 -vf42A6jWy99MpOADP/XsaI4WuC6meX/9LgWdV325UkVaYAdLSax2LAXvvGAg -v1ZogS/Tgrusoij/25+2AAM1QEPrGv17DpRx+WzZAheeNC6vBFOQ90HbNINn -C6z5sRhE+9Hzy3Cdp+C1Fvigq35o0oOC1zR5/h6ObwF2Xtfc7Zfp+bW7JvbU -sxYgjEbXbLejoHF4417H8haQzHx0efIcBY9aS1DJHS0g4vI0I9qEghSrUbcH -Y/T59j8mrJ6koODVXzvyf7XAu/Eb41qaFORovtjaxNQKKY88x62OUtBKUSps -bGcrPD+tQ9CRp+B6yynNPwdaYWGdM+OfJAVXQluYuTVaITqn0ylWhILvV3U7 -pExbgX/TKauv/BQ8NOh2U8upFZxLzggLcVFwgUNZ5wKhFa7UfiwUZabn94wX -i343W0FBYoV3eZWMff9R02KftELReLJe+jwZv36NPplZ2Apf/rbqi9Drj1/y -z+nqxlYY8wjZ5U2vTz55jKGDQ61wV6Wm6h69fr3fVckz96UV1myiZGLo9a1y -RjCVZb0VJN4PeZrUk5GNV2yfCHcbMJcVUb6+ImNbck+mikQbpM/ssLHMI+Ni -5L49Z5TbYMN/89ZHaWRM6hC/52rQBrwXSDfyEslY6NOyHmrTBpOPSMPxsWRU -CuB1euTdBp5HmBYNQ8koM8RCLQpvg+L9OyeGA8j4MDFNuD2hDUr8S1KU3ej8 -yyZ9JrLbwGvX2wOutmSsUeiqWalqg+ld16M9TclI4rJj4u1pg12BdUU6J8iY -o5+oe3CiDVikw/O+HyWjwXhgiM5iG7xU7gpyl6HX3zdrRdZs7cCTksZdKULG -MdmDH/x3t4PCxQ3iex66nuMMLLfk2mErabq4j5mMnQL9Mpla7XCU3K+dtExC -6z/zVtVn2+H0D40i5W8kDL3qeHvApR0Mhg7+yxoloVauRMssqR3k5B9Jf+sh -4cPQI6zMd9rh71r0kc0NJIxcjz8tnNYOBccXd/8oISGbuHaSUim9/4/JiYJn -JJSY0/x+uqUduvnMr2v/R8I3l+L0nN+1g1q+NkfOdRLuvSqbFfK9He6UF3tN -EUnIormb4z+GDuhQzni54E7CazmWwS95O2BOkq9n2JqE16unvrbs7wCGW8xd -d4xIeDiowfGjagfMOxBeCKqT0G94bmLZqAO689ycgmVJqPfR3XW7fQckuL1f -zxEmYfFd5QVp/w7QetQTWMRJwvLPptHa0R0woKbTGbtOxHOfq/dYP+oAE1MV -xmPfiXgrjlDjn9cBJW9z+arfE9FqLMzhFnbAxsBTFh76+rtyYITzWX8HHNHh -H1arIuIrvyismeoA470c0ao5RNQrDyEMLXfA2eBw3q2PiOjzrEXpx9ZOACBe -K4whovwx+xVWkU7gIf5skw4i4u8VHhRV6AQzVZUgghMRRTxzrqqe6ITo+8ms -SWZELLu9X9nMshNexIiG3NOk44sxn909OqF+R+NbWzkiik10PogI6YQW9chd -G7vp63++P8eS73VC3YajZiA7EdWWmUdKM+n9rR0M65aCcSr2b0DX606IMr2m -9nEyGNd6+tim2zvh7Hgl92BvMFKodxL/jdL5bd3RkVITjK5u8nt2/uqEpu7b -7uo5wVhT+yr1EFMXuMjs+1GYGIxBVLHd+ju7YNPeEcu/4cGYTvK9a3+gC2LK -Xj7n9w7GY+PPNoLVu2DjY/oIm3UwnU+t670z9P5ZpQs9esH4DKs7Xjh2QSPz -1LyHQjCSVFKlGoK6gGvjyNB74WAsv+h87d2NLjgTn5Yizh6MTkd5eueTu+Aa -yhqpLxCQXJ++a2thF6RFvxmT+UDAlZWdFyUau6B4Ju3crzYCfvrk+0RtqAvK -J26U3Cwl4PFrhYPmX7rgJeHu4nIKvX0bjdVzrQseZpUJHb9BwL1NI0cit3WD -j/dfqbP+BNRTMryYLN4NkTTfaC1bAn4ey4wrVeoGwQHFNKaTBGRv+Ezt1O8G -WV9t4hN5AuaN8W98su6G1pwkLo5dBOxXPKSx7tUNE6Hql85sJmAg9XD4jvBu -CPl9wNd9Nggf397TIZvQDcmbHDUuDAah1t1FAb3sbjhWMNUqUhuE7p2vPOyq -usFmqZi7KisIebQdGoO6u2HzSPsOmbggPPbrj0jceDfst5F940cIwk9vCWHP -F7qhm/jufNzFoP+dX/cAg2L/g7CTQVh2Xtn8za4eyLnJF2dwKAgnZwMaf8r2 -wODVNL0Z/iCMr3pylF2rByI5iVUX/gViXWNhsdjZHihWffw9YyoQfZkLDh9z -6QGnTWyjDZ2BmBaaUGZG6oGHLnjjdUkgnlVy0fC43QNGbvW/w5IC8bqERGfE -0x6IYOXaLR4WiKqGbXbJJT2gof1s4z+XQPTKs1oqbe4BgmBM2uTpQNx3ciC+ -620PKNwuYWBWDETL3aqK07M94PPkgPCaYCByS19/92+jBw6dml1q3QjAyP1S -DbHsvSB7Yf6Hx1QA/j3MLSDA2wsHHii7T7UH4DflNa9MoV4QWS6/rFQYgFZK -s83y+3uBJ5o4YZ0QgNqyH0VqDvXCJo3gj5akAHyx5w3RQLUXvouUXZS5GIA3 -2Qfo+7NeoB2Utx/SCcAv32nyDka98OrSl/FzUgHY0DFM36/1wsP6j5/yOQJQ -IOPDN9LFXggw5Hcb+emPX/2/nWJ16wWjjRteHwb8UVljNee+Xy/sHVVbrCj3 -xzXGbRyilF5gmDq47p7sj1q43ysvqhc6+C9Ez1/zx81B2n1H4+jj+zXfPeNI -f7/fQanpYS/YLvsIRen540pPVJJpei8IZ1tJ35X2x0P++Qxjub0wGhb62pfD -H8e3vXVxL+uFxKipZukffsiVxda7VEvnU3L9fHmfH5YrHVeNaO0Fxq3ubsKl -fvi+1j9jW38vFNy6sWaZ6IchWi+5kkd6weroDLdHsB8m1cyRpKZ6gZkrIsv8 -gh8qHFH4XDrXC885bWt4jvuhQTrxnNZyL+ipBFpkC/uhHF96ZRdjH9wTKODc -seGLKvHX2S9s7YNj8DXS6qMvOgrJ6kzz9YFarnRVYL0vlhTHufjt6YOHNp6F -bum+uM+iMOifZB+YmRc7KUX4Yu3mOJ+bh/vAJW51+I2jLxJrD1rwq/XBILf+ -lrO6vng+Knpvum4fpA//t5q11xcvWKaOyRr3Qcvk19w+Jl8MUwmKqDjfBwZK -WrsHPvlgu/hW3hOX+kCqM8mggOqDR3fZ3e517wP77D/K9pk+2CTsPW8d0AcM -7ecnv0T4IFlWQ3vmah/8kK8yNnT0QXODDopfTB+ojooRI7V90NiXP339bh9M -dsc6JIj5oPczkeLrSX3wifnvlhAGHyyems7jzeyDUCbaJ0qtNwoqeMan5PfB -Qkzl4XyyNz67+dJB+nUf7ErMXrt11BvP/igULq3rgyW5JyeEFr1Q0t6nUaO9 -D26aPeSwLvJC4dHv5m20PnjLkGRqcMULVZyle8xH++CdajrfjJQXBqyKK41N -98Hd9UILxU9XcDD5XZTrzz5gMmrmO5x6BY+lx23//bcPIo48zXhndQVDHcOk -Qjb3g0fDmrkc3xXM+viynZ2zH1qXR/bJdHtioeDu5QT+fogaUhcciPHEZK7G -QnHRfsizFpfbr+WJbvh84aV0P+hcv+EkvuKBAjJtjepH+kHdxr+6tdgDc89K -7uk83k/3zwclQQ8P3KPasNlarx+CV7p7eSU8MPDto4CvJv1QQtW8Xf7OHXOV -CnxIVv1goazkwRrvjtTTG3+2OPaDm0meO4OBO1aL3d6S7NkPG9uyYjM23DCp -6Hy2bFA/jBPEu3+WuaHlul0fXusHhRgxhc8ebrjMlBFldqMfvqpnvL4h5oaU -Vommqfh+YE9+bjc45IofTo7HEZP74WmanGRHrCvujxiZ4szqhwTjo9s9NF3R -hMTTkv6yHxbSqUJlCy54QTb6yNGKfqhNoRm8yHZBvWTlg90N/fBH6/LDkzYu -yNciUeDU2Q/3bxHZ7m5zweb809Wrg/3wOIQ7KbzBGc+dKbW4/6EfeAQOnBYJ -dMaOgvOkg1/64btls5izpDPaF0ufbJjvh9KpgUqPt5fRRFforcVaP8w5nKjS -jb2MyU6H5KeZaXCzd6fEr+OXUY/X0ch/Gw3i5Yzmfeac0PDMa9l1ARrwXp2Q -oqY64cvdMgMR4jRYqHrX/PWME3oH1gOrDA3gm2LnJ0YnvGVL8IlRosHill9H -i4sdkXngtDMT0GDP7m2c5o6O+P6NrliIPg1yd8fpt/A6IpenTdqCKQ0yOXy/ -cVEdMOX2g2kXaxpsmytdlA5w+N/5AQ0Y6i64COx1wF6yc52uFw2OhztovqNd -QoI+g0UBgQafFDtDvSMuISmjsoAvjAZLw/9JvVG4hG9vJXQRbtKA7NGkwjdh -j4lM94qG79PA6cfZAol79liwJf+C4hMalDvq3WLRtEeJ1C+tt7No8O/ukQzi -6EVcqtdbm3xJA0u1lLVbxy7ifn/qgnIFDaKaO/ee/M8OC4sdiqMbaPBcAXdn -/7bFR6FiSrQOGkReCxx/aWKLY+8ZrgoN0kAl/0egXa4NhrYw3HQYo0F3lezb -EhYbdPL+5l44Q4OdOwZPtdhb45XNsXLbf9GATSJ2S2H5BXwc8WEgcoUGKVMm -Al5cF/DHj8+2W5kG4KuNRACjgxW66T9vy+QcgJ7rzHvcSyxxy+1dAqY7B8DG -bWlH9iZL7KrRNuAWG4An/xatq0zOY8U7EduJAwMQpM/0MyPpHLZNFpm3KA7A -Xz2x/kuTFvjvzbIMagyAxorRpkVpC7SqXPjcpj8AXA4xobZeZ3Ek5lnMjNkA -RIb0Gj0uNKfn963sArYDwHBGyqXgpxmemtl3xdplAJoG7/QkypmhOnGxpMh3 -ABS4maLPupvi2T/E0V2UATi7EXNzJuMMxl8q+ZIYPQC79ZQqU1+Z4OLrtDf7 -7g3A2BJhdZeYMVJWdHNaHtPn3/7mjWaMEcpIP7GjZA2A5wMLs+1fTiGDbs6i -TtEACIT9dLmlZ4B/T3l676kegCPD2YLFqSdRQGOyY2vLABATQ1zCF06grfC2 -rRz9A8CNvqbrurrY/PmHjMjoABw3DR8Wv6eN555GHT7xeQCuGBX+nX+jiZo6 -nonqvwdgz9h1E0VjDSzvhbct6wNAmuPKuMGhhlX6DCuTrINwqH3++9SsChrn -5i/f2z4IFT6K8gY/FNFz+eRg365BsJmguZXwKqCgfE9chsQgpGukKxmUyqG5 -mY4Uq8wggLFltGqUNO6+mJmydmQQeBbamlSS9qL3ufn5CLVBmLKfm4mZFkHT -o7L7nmgPggZznVi16E6sZ7JQ0jcYhMXc7X4CzZxYW+UmEWUySLdH4vXHsrVa -PVuPH+YWg/B//99prfo/iIw0QQ== +1:eJxdenc4Vn/4P5ERUqi0jbIiZYXSHQmRnTLLys7e83meY4WEyqeEsrKyyXbb +ez9GQ5RCSipNKn7P9/f7/vU7f5xzva73fZ379b7Xue/3dfit3QyubaKjo1Oi +3f7n+f9fz8rYmPxOngDLpoHcuwv0KOdrNdC4SwMYh75SjRO5cMD5hhtDtQFc +GOKjK3i2D5PX2c21hk1gyxcF8Zl3gjhWu//Sy0JLGCf5LGuWi+Lla1tKMx/b +QsfuNrV7Y5L4ntxfH/TMAUIsElPeHpbGcE7bADvl6yAv1Tv1MVYOD0Q5eJd9 +cQc+NvYPtvsU8W8Ta4S8uRfwvb/UqjOphPYld1013vpAru14+cXpM2hszH78 +TYQ/6EwyOCnOq2BP7fX+TapBQNf/NNKY4Rx68HgLxHGEQqTOf1ceiKpj6JjL +lqOOJMhw0lH/fOE81p8c0lfoIcNFvqkzF69r4RxYLSwIEZDsdkarN0Ybmd4I +9ClQwsHDOMhOP0cXdx/k+Xf0ZQS4X1fr8Z7Wwz1rMiFdx6PANajOvue9Pm73 +jNBiiIwGxYn78Y+/GuDvyM0Os5M3YCjknRL7qiGOyFeN2grHgoRnis/a+kVM +jboTG+MdB4YV9bIeDJfwildWkiHeBA1ljVB3psu45e+bBWS+BfT7zuj+ZjbG +8W15HQtCCRB5NiuPhcUE+VZ8T7d0J8BktUdMBpMpzmdYXHFxTIQV/8LvrQxm +yMdnfniRJQlGI/UWXDbMcMLF8/aJ3CQgvbG0frhqjkzRj/Iuq96Gv9FT10xX +LLDYY972/OvbcDqk7Wva4hUcFVXtZg+6AxeadjA5zVxFh9La0Qyeu8D52FF/ +xtESgzerh7MU3YUr3Otvtd9a4hbRpakzqsnAI9xdR2duhRx786c0XySD+Juu +8V9UK4x7GUIIuf0Hhcr/ZKS1rLG7OeBTOMM90DCdTfzRYo1c/E/kdt27B62n +vuaQ5W3w6l+eq6/E7wPXoqjHZLENPjWotJtsuQ9wNe7bz0O2yHkoRnvz5RQ4 +85BX4nWKLfq6J3PbL6UAX/7g/tvbruH0iec1f8kPYDy4uIMz8hpeDDRQ6tyV +Cg57ag5d+nMN+09symgrSoVh8kdFO3c71Hd///b72TTYWaHBcmbODp8JbmYy +fZEGUsVDcW9N7NHZwJj5m3s6iHuRuvUG7ZH1//rnIdBtMquPUnHAPL7M3Ob0 +h1BgevVa9FMHFLG8kLW25xEcC7nZaSDmiKRP1rPFdo8gznFuej7NEc1reLaH +lD+CjoP2xarbnbCu2Ury2vojGHvIJesS7oTpTJpK9poZ0Lq44GnxywkZYwYU +ieQMCP83b8/r5IxfNJeEa2cz4NBLTp60KWe0PP+YkVUyE9Io1n4fdVxQL+LP +sGdQJix/m05kaHHBnvWPcT+7MmGfZITNnNR1bK3yk7/DkwUHZIyWErKvo3JB +OlXLKgvoGHTFmXa6olHiH4mtxVlgr3GV6XCUK/Lyie7qX8sCzjJPi4Ffrnjj +kvCtCPVs+HbqxvENezfMlvl5V/FONvAsZVLqJt3Qre7ukY+vs0Fx5mpemKA7 +zi5s1vtPIgeYXnwemDd1R+ZGNQYIzAFvO/pyriR3nFAwPjfbmQOh4XcuMvS4 +o+EVxZ1k7scgIpNfVbPhjkFin3z2Wj4GNx8Yl5LzQP10d9uyJ4/BSONyhYeL +B63+tL9RWX0ML5580fXJ9EDmkMVPQ+dygT6LrVDpmQe+XpiONk7KhRaxvPZe +Dk90Wssun5rOBQHVztR9Zz0xvfKks/mRPDjw+Zq0tL8nUnZmlU/65UHjkajo +rUWeuI3vZbROex78XhVNLX7jiRdG3n5q3pYPVBMdV+6dXigj1vjmqEU+aGl9 +Xz+l6YU9Yva29/LzwXpwr86RUC/cQn3j8+9HPux62242XeaFawISO6+oFIDL +jc/Cl+a8MHnP+XN18QVwpeVBeRKvN07XyjJwvSyALzE9f25reSOV7pvuNeFC +4Jv3ZzAN9UZ9+eX2p16FcLbaoXe21BtP5N/5w9JcCJWzl/XF33ojxXD+mxn7 +E/BwULsnt8MHZU68LSoxfgIBGjIP6dV9UOtirChjzhPop/Db3fT3wc6CaTuT +r0/AYdfWT8/zfbBA8dW1EqUiuMi0Jr3wwgdXGKKEmGKKIFp3TrGKzRczmKby +LCaKgPXbEL3qKV+sUplaqhIoBuqX2ujbLr4oVRO1stWtGJY0soayUn1xp/10 +rUN9Mej+iZ3w7vdFa93XKm3MJUDP4p3G9NcXt3rcvHngYgmsuZodMjnih4L9 +c/cDH5WA8jEVZxdTP0y1XLSfXCqBES0RzzM3/PD0rv6glH2lUNzCoTBe7Yev +9wRk96iUwnjiCorN++GJ+j8Sqw6loNEwwaTM44/Kvw15RW+VAqtyHQevij/+ +HSHbmFSVAhdf2liJmz+6aERwxrwsBQeTMDOWNH+8aXZlXz19GbB8sszk7/VH +WzaOmCXhMvj5Qjn/z09//Hg50fiAThkcFRRwTxYMQGHlj1F63mVQ3kH/66tu +AAZe/TJNSSkDhjQ3HfbgANTQ8j1U1VwGmcRa5NvcALzDGGK8MF8GtwMyH/lR +A9AkljFoN0c5jITaP+heD8D0GfY4LelyuHr7vN+EaCBeoU+ODTEph9PVGjIZ +FwMx5cvDgJKwcnD6YDsqEhaI+kVil97klMN78XT96/mBGHVCjp+7vxyqQr+X +uVIDUe5W6wvVlXIYmrH/Jv4vEK0rhyi+vBUgr/d7R55QEG7Jtdidd7oCvg/l +7H6tG4RS9i7pz20rgO6q+/qYfxBSv//ZzhZbAab/LrdHZgThF11271NlFbCp +0Nz5R08QxvintV+frIBV+9BvfCtBmO5awvDwXwWATKM5655gPCalcnxYsBIm +OHfnFisH46k2I216zUqoW0sc3eYYjF38Hy5LuVfCl+/CM5IJwdivvaFnk1wJ +3usv+tmqg1FH/a78nYZK0NhV+CD7VTBe2Ppka8dsJTjBPa01hhDszDxL/cFS +BVO+mVPsYiHYwGgeKSRZBemNPTpTuiF4TOaH8GWjKijl3prp4BOCSbfftEcF +VYGyUYJ0WUoImkR8c6zJqILwKx6WVRiCBOuRXYtdVeArWSbi8y4Eefii+3cv +V8G+bt24LyyhyNqzJUaT5yl4iWjHCkuE4lXGCt0gxafgr5d/eI9+KO4bJe1/ +YvkUpM7YmnV7h6KSlPe3qcinkPIrRFzqXig2Hbo5wlH0FCp8f967XB+Kufl9 +1aepTyG0ceCR/HQofm+WfOy2+hT+9DGojtOFYY5Tbeqjg9UgknmPIiEYhuWP +HR6MnKuGDYgzVz4XhmI+SpmbXKohPmd6ZJt9GDJNnCiTTqqG/tFbsw+iw1C9 +53K3bU01NHc9jJ/ND8OPOmkLd6er4Wr41ol3vWH4w46Fs4uxBipZpmoyP4ah +zfb7p3+L1YC5WPjerUwklNXR9RXVrwG5yvN5IjtJ6LT/yFNTvxr4kNG0iV+I +hJvCxNdj02rA+9us2C9ZEtJ5Geo0ttXA87ynfIXnSGi1+vDx8mIN7GhVeHfK +iIRCXFzMfNtqQQxcfJ7YktCgMd9NX64WePl0xla9SFjrPzBBMa+F9KalT4cI +Ep5ofStVSamFMG+jEokkEg7fXyLm8mqhXjmalyeDhOSNhZ6dQ7VgIBQh+qyE +hBrfxhk1ftTCWYELswFNJJp9nsoE7K2DeNlXKqv9JBSMuGFSoFwHMpbyWpde +klBSUNfrpX0dSGda/ElYJKG5LjOFPb4Obv25YJj/i4TprOURSpV1cM6FyfDh +ZjL+1tcNdX1RB5e+31jz5Cajg8iM80O6emi+PXn+ED8Z38ZZ6QwL1QNJ8/OZ +iqNkjAybOEyvXQ8PeUdmDp4iI9CdXjnuVQ971oOFnM6TcRvnvQrr+/WwvLbC +k3SJjOuF7xxvYz0c4JQu/M+GhicEd7TP1UOuotJioDsZeROMqr6zNUBMyPah +kyFkvDAZoHlYqgF6J0vMX9wgY/KThDEj4wawOc+bdCmZxpczxTAytAEujWq6 +lWaS0Yc+uetpdgNke6p/XygmIzM5/NhCbwNoi23l/1dHxrL4a/G7vjaA3mr6 +j8+dZPQVU3ijvqsRimdWPZpHyZgh1yDjr9QI/8pnKlynyUi5W3gnz6YRxjS2 +P19bJONudWb65zcaQSoj/o3tDzLaaw0FsJY2Am/D5YEndBS0y2TYUJhohPD7 +LqmjbBTkv5Cd4PS3EQIVBnQmdlIwUaPs6AOBJvj5IOBdNT8Fq/4Te96n0QR/ +mj0s/MQpmKCwLeGvaxNE5VXV8pygoMBRa0OJu03wwODc6i1lCjr68AleqW8C +OeTbt6hFQVtOrY34N01gvKwuwHeJ8r/9Nc0Nr+vYZC0p6H1ybuqLBIL0nYBn +wk40/QMXp/kvIixtCY/+7UXjW35sySAQ4ajqi725IRRcWfRnCn+E8AuCk6Sj +KCjjLSFR1Ymgse64lJZAQTF9Hcv5JQT+sCzR+fsUvN78aSiWtRkorSKa7FkU +TCd/8Mne1wxO3ava257Q5F32CzRKNsPzhJ2yK5UU5CJFjo2rNMPw3rCNskYK +GjUevrls1Ax6jtJFup0U/C74R4vZsRkuBUif7huk4FIF03a+4GZ4pxVWfmiS +gpInGCbkb9FwpLi8+QwFi/wK7+hnNoPysN4/jwUKWvtsXHCqaoZV4SV6u88U +vHhsY53S3Qyc8evn5H9RkJRXUPDgZTOQN0X3vF+n4LtX9HqVy82gHREd58dE +IDHM+LmfvgX8dtLdesdBoDmpImqOpwXWq5aHJXcQeP0Tx5514RaYsbloYLKP +wPpdPI93nmyBA3zHua0ECTyz0XFEUqcFaj6Ec6uLEUiXLViobtUCJW26hmzH +Cfy2WeKQpXcL0BUmjhadIFDk8GyyfxRt/ZFm4tHTBN6lP8uQmNIC9dmBt26q +Eqj0QNcxv6gFBKr5B3o1CTywwtzT0twC85OgOa9HoAKTi8ALagswMY8zvb5E +4K3JIJ+V+RagqL7ZVGNOk7c70bplrQXMEmxPu1oT+L4snUWQoxUSPlyp3eRA +4Me6Mo2TfK3Abzjs5XOdQGGyO8VQuhU4e2uud3oSmM74sspZrRWMdXlzf/gR +qHvu6yxh0grrs8sHGUIIVDxbwZrq0gp0EaeeLZMJlBr3O1YZ1goFHG3stZEE +so3etehPaoVzRqprVrEEdipwJL7LaQWqWfOjxVsEXmOf7v1b0wqX+E/Q6d0h +cPY8C9uO/lbozsnjvXuPQJWVWH2JmVaQXOT5UJNKYPhmx/RzK60Q/THIu/4R +gbnEw68Wm9uA+uRVdWo2gYUuklq+vG2wTUKx0jyPwKRm3sL4I21wxiXR8U8h +gaZhZttzT7eBrdPbSf8SAhnyf4WgfhsECh/bGCsnMErp05dJ2zYgZfnNcD4l +cOXUKacvfrT16Vp/0VoCIffdIktsGzg8+9nL30CgW/C8G396G6gnHp343UQg +qUH5r0JZG+xhtUopbiHQ0/5nvEF7G8xA/K6z7QSqhTCKOE+2QbLsU+2nnQT+ ++efcRXyg8fvw7BRLD4G3F8Wvp/5rgymLn+/k+2j2O6O+u2pbOzgnbFXTGCDQ +lr6+b0CwHZbI/JZyQwQ+kogOn5drB0spSWmGEQKb2ovPbpxvh+6ME1g8SmBD +51FWXot24BtWZFQYo8WDRfHgMfd2aKbN/JnjtPeVUW+eJ9phdfZa8YcJAhPa +0tWsk9uhf+6FHtczAjNvc64G5reD/Lt3QrufE5jML5Rzu6EdlKaJYxs07HV9 +VvPJUDtMUauvt78gUNpP9UP7bDvwdJCmHV4SOHn6AvHqRzsslE1TlmjYpn11 +x0+WDjBIGTHVnSJwmFk7c+u+DrgUamKXSMNCrGoiwpId8NPCN6echq90v8sF +lQ44qiDCW0HDXudE+Y2NOmCD060piYZ9Sdx33B06wHlW854+DV8NyKK7EdQB +7qX1OZ9p+sSPPbfLiO8AjsCWeRcansmu7KzN6AA1MDfrofH1nZTlG63sAF66 +OIbNNLzSZe71oasDiAa9mYO0/Rn6ijZvetkBkd4Fy7tp9rg/+4Bp73IHHBa5 +f/wbzV7t7JVq0vSdYDG5L6+QZs/x7x4kLZ5OOEqRNThLs3dvyniFjXAn3BN5 +K1lH80f2+vTrIMVOSOs9rLyN5i9bvnjmO9qdoOjwL+IczZ/N0kpHn1h2ghjV +X8GU5u8AFzWzdq9OOPTqcbIOLR6ie/PjpyI7QSQyKk2AFi/L2vbd3+93gtzg +Hp0JWjwV/Qxl4SjqBN0qyzxHWrx1tn/ROdzcCR4nTZ5M0eJRpqbhgRKVpt+S +0VQSafE3PLtsNN8JY4esy6xo8byP00bDdbUTdkR7lnvR4v2Op1JeJHsXWMbI +Wlyj5YPdH4+tDw92wdMjhWVyFQTG59MFVUt1wU4XaskCLZ/+33zaBWFaxZf9 +n9Dy2Ufc/r1xF3zrOZn/npaP3Hd65ulcusBjIShbPodWXyabXXaHdcFalut5 +hwwCw85wrR5P6oK4De57fmm0+jrYGKuZ0wWia84JdvcJ1KG0HbKp6YLB275S +sncJlDcTbA/q6wJSv3To2wQCg01eOd6Z7gLF7CwvjzgCd4d83VH0tQvo9nZy +zUQRyN55tbuDsRuGjj0wEycINJERIU/v6oa8OQEdk1AC/3Vpnvkl1g1xChYf +rgUQuEbq2rztdDcEimseM/AmcOqPap+IfjeYduoZH3AjUHLcMUbZtht2cD5L +6XUk8Du73FlTv24oYHr965Itrb5n5f/wjOmGPUUObm1XCBz5rz0jNq0b7Dd5 +0m8zIfDDh0j17NJuiGX6W3TKkFYvUr/MN7R1Q0wtk895bQLdn9CTxie6wW5v +0iU5dZr+PXVcy4vdsE883YBBmcC6hd0Pmf51w5OPYo6ligSycPMfPritB3hN +5VOUZAjsSR3KPiHYAxZ+nXMFEgT+CD98QE+uB8LOjmv9FiIwpU8g0eF8DwQ1 +2fYf5iOw1L3rH8m8B/Tfe9tL7yZQ1pvb9r5bD9C3bN7Pz0XLpwmm9jJKD8Rq +7Pq0vIXAe8kZ+3vv9sBKaP5kKgONf9Vb99m8Hjhm2zAl9peCjVIDjWv1PaD9 +W/fvve8UDNx6hZF7qAfOnrSVW1iiYIFGsuqR2R7glliN2TFHwfNvfELP/uiB +xoGta4KvKGj+7G+ZGUsvnN2fQ3CNU3Ba4siM195eyN7bIPymn4Ijb+iY4o72 +wnS34fytdgr2846KZyv3wvX+paUDDRQ0+7Vi0nCxFzoHmB3jK2jf/xCbm2P2 +vfChP9t0uoCCyoWCXUuBvfCip61layYF75GkmTfH98Lddtv0g7T+Jvxfkvb+ +jF7Y2xj1ZRut/2ERUEmRrewFlwrxmtlICgoun/mk3dUL0bmGP+7Q+qdnVrfU +7F70gvv9v7nC3hQ8FCLxOPRTLwjcEB5OofVfTGf2sv9H1wcZvmPXl2n9WViB +sX8Jdx+8t2IgC1ymYHTD3IcuoT6g06pkPaFNweO+rTavFfrg9fEFZvGzFPSc +XJ79faEPYnamBtErUFDttZPDdss+oF8dta0+SsHyBLnvol59oPI8tk37EAVr +3utHqkT2gU5153/tuyl46X3DAbP7fXD4NvFmLycF4275NXo96YMul9ZHhowU +NJkmW8dhH8ioEuPOq2SsG3vJkTPaB9d3d4bZLpPxqWcENs71gcdSzEOlt2RU +qwn1m/hN09c4IvNrkozuOV2yn9n6YSo2BRL7yXhM0XKN+WA/nDGeQ7YWMn5b +40I+qX54beM9a1dFxoMuBSEK5/pB9AvrWGY+GatuCskZGPfDvn85dzCNhq9G +vXdy7oe6GI1DjYlk5J/tv0OE9sP6va+UBxG0/p/nl2JqYj98Es4oNQ0g48nf +m19WZvdDxInLRWsuZJyLXfUeqO6Hzi7ugCBLMv4dGmGZ7+2H8mcT3K8MyRjc +Hp+8/qofzjk9CjmoTpt3HI8d2PW1H8KD3Z+qKJKxsenpQ0nGAXDmVG9Sk6DN +C+38ezV2DQCd4KG7R/jImBnokWApNgCK1cwnv3KRUfFNzoa/0gDs7/9a8t/m +/+HT5JCoNwAFVrPfDvwmYQ429OXbDMBrv+dMUR9IGHjioUir7wDg1sn3Q1Mk +rLlqF/bixgBoCr28vzpIQlt5ruGV1AEIbprbzdhCwqCWzD1spQNgPPnT4XM5 +CdfWdl0VbBuAKfetUfXZJHz3ziPt5MQAsMWKezskk/BUWOm44eIAvD2sf+xn +FE2+h8rs8ncArikH19sGkPBQx0vpcM5BuPWqaEelEwnVZLWupgoMgkZco8U7 +MxK+n86+VSk7CF4zlPIfF0jI2vq+vV9jELZP/uN+r0TCJ9M7N96ZDcIhr6OU ++qMkHJWRPP3PdRDyKnj+uR0koU/7ccoOyiBk3CsN37yNhA9uHuiTuDsIWwQ2 +7Q6mI6Fywg9etbxBGHzI5Z37Jgyd+p86X6kfBFfVuCHe1jDkUrFu8x0chD/H +PVQvZIah4tdfB2+9GQQ7h5ZRZUoYvnvuR879Pgj1C8GBq1Zh/3t+PQR0pVkn +vZTDsOqynOGzPUOwv0V2ZwlfGL5d8m77IjEEfHultxRthGJSfZo8q/IQcDQ9 +2HV9OhSb20rL+S8OwVS+2+nPDaHosbn4uKL9ECRNF4dKPwjFDNLdKoPAIThm +bvJMISAUL8ran3a+OQSlAm5amy6HYrSgYD/xaAh4pb5MxsmEooJWz5XUiiFw +ipkKeb49FF2fmPys7ByC1CMySu+XQ/Cw+ljSwPMhqNzxa0dtXwga71WQmV8a +gqcah1ku5IXgNtHoF+sbQ5DS3sSdER6C4UIirbGsw+D0Y9WhxjIEV49v4+Xl +HoYj6hzySadC8KPcX9fsfcMwUfubdJQ3BE1klzqPCQ2Dh3bD2ZvfglFF4vXB +RslhWKc3JhUPBmP+gWcB5xWGIWCyTy4pPxhjWMdo89kwzAzsspcPD8bFT9Rj +1heGQW7u5PaMK8HY2jdJm9eGIWy/vGy/fDDyZs18DLw6DJW+7NRarmD84PVR +k9mRpv9LzQfHpSCUO/2n4LbnMCzHKgVPdQThX3pOdr7gYdhQT4na/jAIlVHI +9UkEbf3QEDurfxAy+KqMyN8ahhGBKbY2Pdq6kLVsx71hSFduCQfRIFwbikjR +zxwGU3KoXyh9EEp6FdFNFw4D6yz3u7DngfiG87m9U9UwZNuQe8+WBeLWxyzD +P5to9mHqEuuNDsQa2VMKRPcw5Pa8Y+S2DMSpJq8sztFhYC9+YXzgRCCGKpds +TX05DLYVeUJLHIGY0rgcKDI3DMXPdVyD3wWglLTU+8rlYXjNNyA5VBeA5zMD +Lin/HoZNNwSd3yUE4FGezLoB+hGQCe8oaLALwBNJ0aymbCOQFi4UYHwqAG32 +SZyd5xkB6Ri1iertAVhRfsve88AI/L1zuPXFvD8eNir1XRcegbXstlMt9f7Y +xHDLPeb4CIjU8ak5J/hjQNMRo50nRyB67NT0M1t/vBwReShTdQT2f9+1eYuC +P5oaP5yW0BmBWd7yunV2fySf8CVqL4/ACxW2jaev/bBXgI37nNUIbPYUHJWq +9EP5PVduDjuNgNXjNWnPKD/s2O+2YuY9AvSvEw75mPphkMRplYWQEWg5MJ91 +UsIPDc/3BXtGjUC59VpZx4Yv6njszPyXMAKvCgd0uEd90S3nYHl0Cm3/a1cD +D2X7Yvnc/BPu7BFo1C6TWfXxxd1SLknpRSMQ/LglJFHdF3NiSqxFq0fAgTHR +6BOvL178XLq/spm2P/uDDewffFDY0r3tdC+N/5BL2ec6H9z/6pNhD3UErJUC +ZJNjffCEneiQ4asR2F2qqkFn7oPefwRkp+dp/EWGFiXEfXA89UWEw5cROJjD +u+fgX29UzLy1/dvqCOwsH7g50ueNJBuySCjDKMQ/T1lVeeCNj1+X9LJyjMKd +vSQLLydvLN299/fdnaOw0z+k1lrBG1O3tpUK8I3C/s9JHNtZvNERc7+XiI5C +IanZJHTCC3nFe9qUpEehRpwpvSDbCwsvCh/oPzUKmt9sXtz19MIDCq0MZmqj +cG3s+dYzZ7zQ5/l97w+6o0A3bH8yn4MmL1vsHmhCe/88h+XIC09s1974tcVm +FDp29gfX5HpiA//NLakuo0BvnZl4xdsTU8ou50n4jkJBV0J62xlPNP53ZQTD +RuGV+n9ZC+ye+JsxK8LgxigkzFY96n3mgcHdgh1zSaPQ+d/SXZdsD5xRf3Mr +IHUUbtifJAbcPFCIeDnH8XgUuvUzHT4peqBuIFdXZskoxF7iV+vf7IGmEpHS +8rWjMOpTs9dp2B3VUuWODLaOQkaJ/WJnijvydAkW2/aPwhcGyZJZW3fsLNJu ++DM+Cq2eW683HnXHS3qVRrdnRuHUbza14i9u2Fd8OfDIIk0ejmReJdzQslxU +vXVlFCjupb8Ld7ihruq+50Z/aXyURgxLc10x1Vby2PxmKpTm3qxwUXBFNW6b +C16cVFApntv1pvc6aulVS/zjpcJt/eckDvPrWLJXfIwQoAI50WH565ILuvm0 +ALM4FXhdb1vGh7hgnIWfe5QsFUwXTCZnOVxw85i2HSNQ4eK/eoPPac449UyV +P1SDCp9LakcrJJxxq4t5xnd9KshtGFw+1uiE6TfvzNubUUHqY9Rr2wtO/3t+ +QAU6b2NX/ZeOOBxk16zqSgWt++10Px0d0U+DzqjYjwpnzfr/M/jtgIFZdcU8 +ZCos1LpJ20c64PO4uwN+MVSAqmKqNI8DJjMmlk3epoKi9g3/mgx7LN5SZCqT +RoXX5A3+H0ftUfDhYvfNx1Q4Zbh9eLHeDn+2qP19W0LDLU2UZA07FPJq/y5X +S4X5PmbFP2PXsLTcujyylQp6Xks/dlldw/skfllqHxUMq12eLi3Z4vQUXci+ +cSrQ34sOCvC3RVIXXYz1NBVsd5w518Rgi7ZuH51KF6jwbEXVkyveBq8zxB7d +/pUKY980rAZ22uADYmYsfI0Kl3kvcH1Os8bPn99bsDGOgbOlToSfoDU6auT2 +ZHOMwXqfbp1drhVuubmHV3/XGOwy0ytrELXCgUaV89v4x6CVQ88poMASa18c +tJgVG4MPb3XmUkUtsedtmWGXzBjI1d9X1dG5iuvPfovj6TEY+nFb5ZjaFTSp ++/6+R2MMdP3i2mcULfBlVE7UgsEYTAHRay5uTqvvbKy8FmNAr+d/MXePGWou +HL5uZj8GRY+drXGzKSoF/Kgo86DxVbX4nv3JGC/+Cni1J3gMtES1txiPXsYk +q4rF5MgxOGR0MvtZxSX8UZ3x7HDiGHB2C7cKJRlh8JpqQdeDMThI4bJVuX4R +xUXTrgQ/HgMh4s+NI+cMkU614MfZsjE41TcrPbvbAFc1XdwONIxBoGm3ld1H +PeQ9/baPrWsMTK2Mhd29dNFiPycb++gYzD7Yd7uYVRs7338WP/hqDD7bvmKK +vq+Jlx5FHD/3fgzK6lMofwU08MxZl2Slb2OQyx7m71p1DmuG4XnXP9r+2ypN +z1iexXoNurW3zOMQ/OakqKegMuoUFv1O3D4OF3tFupnXldDlt/r4yJ5xOJoe +vPPjnALuPjZ0K0twHKinvZTi12XR0OCsCLP4OKQXsit9VZfCvVez0/9Kj8Ng +T6KYSLgEul1aWSFOjsPMuG7cp+dCqC8vcThNZRyMAg0fSiXyYQujkazG+XGI +d1PoWjbcgU31joIRuuMgf6rY9nMCI6pZOH82NBqH//f3znD9/wFczz3y "]]}}, {{ Directive[ PointSize[0.009166666666666668], @@ -367715,10 +367715,12 @@ zzwNgaR/VQUH9PwCAMuMbZk= 3.797325680232698*^9, 3.797328129225112*^9, 3.7974378632586193`*^9, 3.797496501221438*^9, 3.797496544916484*^9, 3.797497229238236*^9, 3.797497557149328*^9, 3.7974990792101297`*^9, {3.797504625033785*^9, - 3.7975046667127867`*^9}, {3.7975047026889772`*^9, 3.7975047364393587`*^9}}, - + 3.7975046667127867`*^9}, {3.7975047026889772`*^9, 3.7975047364393587`*^9}, + 3.797526128351554*^9, 3.797526720681766*^9, 3.7975283446434507`*^9, + 3.7975297846689253`*^9, 3.797529820194398*^9, 3.797529978291394*^9, + 3.797532175137426*^9}, CellLabel-> - "Out[343]=",ExpressionUUID->"f7bdd1f0-2028-489b-a302-246ff26b6ef9"] + "Out[327]=",ExpressionUUID->"ba8d0057-583d-4a73-9ff8-999a76f07c90"] }, Open ]], Cell[CellGroupData[{ @@ -367797,7 +367799,7 @@ Cell[BoxData[{ 3.79717832436782*^9}, {3.797496867776733*^9, 3.797496872224091*^9}, 3.797504731221451*^9}, CellLabel-> - "In[341]:=",ExpressionUUID->"b3f6951d-bf84-466d-8be0-5fa497cd2249"], + "In[329]:=",ExpressionUUID->"b3f6951d-bf84-466d-8be0-5fa497cd2249"], Cell[BoxData[ TemplateBox[{ @@ -367809,203 +367811,203 @@ Cell[BoxData[ AbsoluteThickness[1.6], Thickness[0.01]], LineBox[CompressedData[" -1:eJw1eHk0lW/Utnme59k5R0WDVDIldshYkUQIpWQoRYRIJYQMDaZfSSpkiMwy -O9t4zI6DDEVSIUmlyOz1fd/7PX/cz7rW3te+rn3faz3r2TfxnMeJCwx0dHRa -m8v/ef//Z27vt7Gi+yQcLORk8dNUg8c/zsq7b2JVX8fOGlEjuCJbtIewiTsv -3fNgLDsBfpQPq10xJExc57I7QrWBgq9jUdc3cV+FtNX7nLMgE1A7IrWJT13g -KEjNcILqUJ+5qmgSTt3pqLox6AoxzCxtlps4lNfJ31nnMjxc9XCajiKhTLjr -tcJfntDkVlznv4lXa9nvqtt5w65j1E+Mm9glP+GK0WcfaM5oboyIJKG1Ndfe -T3evwyPfxItsm7i14nIHw+EbcL8aeoPvkfCq0DVSNPctaG0uzqFFkPBWnzvH -brcgOP758wtaOAmrNLvNNVrvgJwAtSg4jIRfwXFyclsIHLK4/mk8lIQsn0jt -GsGhkJXxYdefYBKKywqt7X5/F05zrcUXB5FQYnn/TcrecLAOHRLfcouE/F53 -jzCGRUAqj1fV4RskXAxjdh0fuAf7c5r9ha+TsEe9lOYkHwWCtgMnE6+RMDk8 -PiryWjRoS6YfpXiS0ME7LdaCHAOFs7scs9xJyLH6aZLM+gC8+67GariSsJ8v -q2ly20MI7PAaCzhPQsKcr3Zdy0OgvVM64u5AwomX9g7ubo/A+08WjdtmM06w -2/qNLRYcSKO+ZyxI+M7dK04tMxYSznerOR7b7C/iRdapw3EgUhYoLGhIwryr -E07GY3EwLjXB63OIhLTth1u4bsTDcjynfLgGCV0LKmgvhRLATvaHrfE+EgYy -G4ayvUkA9urInKodm363z3w4dDgRGC99ERslkZBbMvuDyXAiGOxaTi2QIGH0 -+5sh2zz+g76NdmNlARK2oP+PUMbHIBdsanSfnYQCxFxV0cePIcfMd+gbHQnP -rAqdGdn1BC656q3pLxLx7YkS54G6J+DUUVqc+pOIvFsijzGfSoK4G52rGxNE -9PVMFHSZSYIVn8hB+1EijqoNla/eeQpPqmYMqvuJeDLghFazaDJcPfrXULKT -iB1qDC8b3iTDbYWX7wMaiWjuOfX5r94zoJj9oX9fRcRBOWYW2+FnYFA/XalZ -TMRLJ6xZ/3imwNrtcJZnr4nI/n/P5znMRLR+WX9JxCxCaiamPAfBkQJLxydE -VDh7NG1Z4gVcDdSyaXxIxKAf58bznF8Au4vHT/kIItqVC/HfLHoBA8kGYtG3 -iViJjkoX1l/AqHRD7y9fIqawmGi5mLwEqekvRKsrRGSK7DwQkvgS4uhzGaov -EPGXyYx8xfhL0HES8yXZE/GscQYTu1IqyAvsCLx3kojH765QvW6kgj7nJ6Hf -R4jYuv49eoGSCslmWods9IhYX+qnHi+UBgoftdfrDhBR53VK7xHHNPhV8dV0 -5z4iWj5aUeTJS4NPr9fSYrcTUYywXbRjOQ1mA094zBOIeM9K/sFdw3QQ3/Ol -wEKMiOn7FxIOxKeDU2OR6xteInpUJuz8PpYO7Rq1iQysRByfZD7+n+IrOP6Q -RdNinYCsNQaMEPAKflOiLJ/PE/CdhrX+ePMrKBo7NjExQ0ALhwMidwQz4MHw -0R/bvxDwxo4fPpJnM+BeacRF1/cENE/xdCrMzYDUq/QOqTTC5ven8ZPuUgYM -8ZRRBlo369/89qNbPxN2xWRnstURcGxyNMI6NhOezQyu7S8n4MXl9KIPo5tx -Rf02u3wCppRoXrLbmQWDprNcQRkEDBZJKxrwy4JU876mlGcE5CO8jzBtzIJI -lX9/y+MJeLTn8w/ky4aHy1ZJXVEE3L+j5tNu+2woevGn9GMwAVt3uDg9zs6G -OflOwxl/AnL0fvJZm8/e7P+z2V9PAi6TFEUcdF9Dx5Bq1z8XAiZKGOtX3n8N -F5go9QsOBBytUGEUeP8apPjiFX9bErCX7o/ZBfkc+LX6jGfy6Gb/6rONb71z -QIguJHtYj4Bq2fErbJgDZaafdLoObPq3mPhzmisXhqfKB+r2bvpT+/wm3zoX -bnaxXypVIOCRk1HbmV7lwouN3pUsWQI2vx51tvmdC3qBopHJIgR8fWDkQr7W -G3A53Cf8kJuAc4zh21gi3wCHNcfzECYCvmT5kGX/7g3YXfE+Mrsgi6W6H2ZK -SXnwsqHRov+LLO4rD5/j8ciDqXy2U9U0WRRxGa1wrcoDJWWwTENZPGc2ptvA -mg8+x1xNI/NkkedqTIzMyXyoWQ/RvZosi3IdX58EvMgHRsPYvdaRsph89pvL -wEw+HNkWJwnXZVFbtONGklQBxCaH0W1zlsUxCf/0Vt0CGMx2H+M6KYtqVSuK -S64FQDDVr/qjI4s6ixZi2x8UgMs9vkfDSrK42nPnvE1pAeSd7nKsk5ZFd6O7 -vJHvC2Ch8ZZiFqcsxpx2kKqiL4SDTcS/95dk0ImTO3JGvhDC7MpKfSZl8Pup -R9YypoXQEQledv0yKK/zPfz4tUIQOl65Xa9BBgPO/BoNTioEm0uCgzsKZdDo -iO+WUiyEhKeq/kLPZTCe6ab15MQmf0yOmy5GBm2imG6IcxfB6oH3cT8CZDDl -I1f0EeUiIL624x5xlUEH+sSomzZFoLc7y7/LSgaTfj33z79dBPaUmsG6wzJo -/maH1adXReDh82xH2T4ZDFdTJQp2FEGguqFHHkEGVR/UDx+eK4IgvqqMTB4Z -PFfSHewrVgy31hapqavSyJFpL56lXQzXNuhmn09L4z4X95Qhp2JwEuldSxmU -xt6/K/ycUcVwRNeD7kWzNP4y47p2sLAYlEL651NLpDHy+rPGywPFwDHEPJqZ -Ko0pV/IZn68Vwwc9uoq8h9K4Z5/uXqpcCWQ1NIaV3ZLGgw2Wx+hNSuCKtYVh -vbs0UojTp/Z5lsBuhtzlLltp7Di2cfx8Ygl8wa4XI0bSaGqYoB5fXQLx8VUa -s6rSeJQnl6dpvAS0bno10W2VxuZUvd55tlL4FPBHT1hQGquZ7MK2KZXCjRjt -0p30m/r75+VPWZYCX6mF+OGfUhgb96kx/EYpqB8C1zMjUmhz949b+ctSiLnJ -nXSrXQpD2HeKfqOUAv/l2ryXFVIoRIjoEJ8thXqeExktmVLI3soRaSL0FtLP -tQT9SZDCM0zFZjcOvIUiV6I2KVQKpWhB0rln38Jvgv3oSS8p1Np37c+HsLdg -G3XDMeqsFNZuienhfvMWFjIDKU2mUpiZ3V6m3fsWanzP8jFpSeFfVMrwWHoL -Jf+2HTTYKYWvLlYkv5Atg4EdVJNocSksynB92qNfBrv47A6+Y5XCHT5aqQzu -ZZCX2ca3ZUESWd6pFSrHloH9b8kWny+SaNh6qsWpvAw0f5ida6dJ4nfTZ5MJ -o2Vg8NT545Y6SZx3ZuOlMJVD0MoZCM6XxPP8T7QXd5TDFPfB4M/PJFHF1Mx3 -u3k53KItZxpFS+JF6Z1vbf3KQdc4uaAwQBIZbu9aj3pWDqpehGQZN0mk87Yw -rWkoB6tj4RcfnJJEx6XnGbPfyiFzmCrJZCCJ2wQEWAl8FbBFYi0/cL8knqjJ -9jBXrQAqJ5f8EkkSK653vgu2q4Cc3Vl//PklUa3+876S4Aqoog+M2NiQQOqT -mZCvWRWwEhr+9d6sBN7ZmGwV6a4Aj/I2DvERCTT6089kNF8BQsn6i7ntEpv7 -83a/v2QlzCgtvtGvlEC5u/dsXutUwqL3+M7PWRKoJGfm/d6lEva7Mnnd/U8C -7cxYg7nuV0Ial0PQrjAJTGEvuqtVUgn6jj+tBq9J4KK52a0rw5Ug4Vw+H3Fe -Al0VPl56TlcFBMkKO+0TEvg52tGUuq0Kzgb9jlo8JIFht99tpT9WBW0JjiFl -ShIIdNpze72r4OJpdr0bMhLIx/u4+NyTKlDvmWrT45bA9ZwvbnHkKtD8tyHB -vyqO6+/khBu/VoFnp6ny52lxFHtoWfqXsxoGLPoFK4fE8eiAv8nWfdXgFRlX -ndAijom5D/ssratB++o9Rd8ycVzkTbIIu1UNB9nKnE9niKMPfSLlbXo1XDaT -ctVPEEfWO6F7JtuqgWpQsXd/qDgW3r9wX/R3NbjMxjTIe4uj7w6NT4aiNbDb -IEmacE4cX6pW77+uVQNqxT+9pc3FMTghJz7rfA1EJz1flj0kjuKGrPRD92pA -cTW7UF5JHF2OdPuzF9SA5CDPk/0y4uicyrih8a4GTu3uKjDgFkfi0fSHF1dr -YGx9ctF+VQwfGRXufkqqhRJju6sB38Ww9L8dQ+1GtdDHs1MieVgMH2rwPVy9 -Ugt6pif/1LeKIWn3OQvFhFpYZx1cnS0XQzcfgpxDVS2wHHyrSsgSQyfeIxv3 -P9WC3fTsC6v/xP73/5oMTILBmrFhYnhN8+uHX4pkmCv1YOn12dTvPDlKPEmG -3b1l9OIXxNC5aM/MiQAyvL1oq+h0Ugznvl1nCX1BhsjAU/dK9MRw/zVFxdJm -MuSx5QtzKIvhDnPTsxMzZJDidaQ5kcTwMv7ojmJHGHxwmdzIL4Ypd6Z90qUQ -xiP7Pmyn38x3lybVKCGo0CXuiP8ligJBYX39uggDPwuzGMdE0bJma8ysJQLF -RuHU9W5R/Cu3coTVDYFBe0llrlYUZ4pZ+AmBCFHJW/Sv5omikhrjO/UHCPcU -huPnn4niG7+cePNUhK0Jxiq3Y0TxnM/G0YulCGfGvbbx3BTFk3s21oNbENT5 -rFxT3UUxKOv166fvEQpl/ixr2onilxH64yWzCM3cJqPDR0QxhMr0s4O+Dq6P -2Arc1hRFu6Di8K9CddAWs/U/hZ2iePkHt8S6fB1Uyrx2H5AQxSpRoQwRzTow -ejgVG8Uhioc2mnYqmdaB9/gYu/6yCNKly+UYOtaBtnBcD+O0CP5hVtxy9lod -5CpsfKMMiaDC1vHE6+F1UCS11eJRqwgm0OsxPkqqg1O/mbjPVoig1lMzt+w3 -dZCUkSK6P1sEZeZYW+uwDm4cnPPifiKCGizupOHeOlgp2pCZiRDBBwM3fOYm -6kCEgyJJvb6Z76xWz7FcB706Rm4VriI4VZjCJsddD4q2IaxZ1iL4vbLQSJNQ -D1stri88NRJB+TuewRbK9VC5S141QV0EU5jel14yqIdfEw+a4xRE0Ez/93iI -TT3U3ynK/E9MBA/oFbMnu9eD8tr9oRdsIriv329Pye160BEKdspfFEZOWoJ9 -R2w9zNyZOt4wJYzNGtyPvryqB1WD+pQPg8J4gWu0bbW8HmQceU1XWoRx3JiN -U7ijHl7Quh1kK4RRdy7KXPFjPTQkMfYYZQtjKLNbiv5cPQRXvE73eyKMmSHP -f9szN8Do7qahnHvCmOOudMRXrAEGlk94fvUXxlgUy7m/swE8pE+6brkojLa3 -T/NnajdAemJLnautMDJm/7tJNm8AX+eCwCITYQzX+vFrwKkBZqI5n9BrCuPc -wYMXf/k1wCL7sIjVTmGEzC/f2KI2+SOSC/mSwugROOFBTGmAadYeVV4uYQyq -1lnVKGwAasTSoPeqEHq5LNw/0dgAJ84mfBiZEUKDm0wKlwYawOt+us6xESFc -WbtECZlugD2C8mz1nUIY923X5eS1BoicldpzsFYIOQ8ZipfyNUIIIayiKk8I -neir2jvlGkEs+1z6oedC+EIxInRCtRGORhT9ansghLWNeXobxo0gVeuTYhsk -hNXNu9nF7Bsh0iT3zU9PIZSxz+va49kIgj6jI/ccN+sV9sYYhzSC2t34WYUT -QviwIcXgXGIj/Ano+tihK4SpcbxLAdmNYGwVXeijLISJxG2v4qobQVW067zc -FiH0vjxuktvdCLU1cQv9QkKo7Hd4unG8ET4aj7jFMAvhgPbRkJH5xs159XWN -8YIgnm9cEl5ga4IfAqu/OSYFkcp6LJVHqgmGzXpYegYEcRu7gYK8UhM4eu1Y -fdoiiA4tXzJBtwmCAlhplyoE0Vt/O9HasgnA1TXi0GtB9A0SjPd0bYI4zWOy -Ek8F8Yx/Gt29G01w829p4mKUIO7aM+T88n4T0Melzr4PFMSP6SXNFS+bgCAq -KN94eZM/oEKglTTBYBDroUIHQZyj2HlPU5pAgXpbI81MEC18tyPD+ybgZw4U -TDokiE/Gn7JIzjZBguxqZ8JeQWzkKjFQpm+GYlk6t0SSIPb/vRp0RKgZXJkj -vjwVFMS2pP7i8/LNUEaNO5TBJIjp66NjNw40w4s7cjdL5wXQiXCfNf5YM5DE -1JJaJwQQlbV2555tBrOp5PvjAwLo725wutG7GVQM5MzpWgUwoi37/oewZhjY -2/aJVCmAs8dcWv4+aQblnEeHTHIE8M3CLTbuN81gXOR/2TdZAJsbf5luxWaQ -Ngx2zYwRwP3l1U+1epshyy1n78gtAVyhjs9aTjTDnPh8i6inAErxnje6stQM -C6fO7D7lKIDxXlpZYVwUKJabdnx6QgCdV67yPJelwM6bCee/6Ang/Wy6G2X7 -KHDWyVF5n4rA/86nFDg5dKwndJsAjvvscpmypsDae1utD6ICKBjfOkHnToHz -7hF+6uwCmDKA7uK3KXAn8t3tJ8v8ePuQwNLeWArYqepbbXznx6qumiiTVxT4 -7dG37DbCj6bBDVvOl1PgkFro5aEuflQ/Ldd4o50CR6NP5h1Dfgy0GXGLH6WA -gId+TXMhP4rf/C385jcFHn20Sjqcxo9czWdamphaoGskQp8Sz482+xXujIq2 -QJPb+1rTMH5co5gc+rejBfxCTFne+/HjchCFmU+7BcZ3jEu6u/Hjh5XD7Qrm -LbAazT1Af5oflfrdInWcWqDqnSFv8lF+/Mulqmfr1wLibI8aD2jzo0Ja9rxX -ZAtIEr8tjCjxY89/jS+jnrVALfF4xl0iP05PhxmmF7QAI0czba8gP3ol/5qo -bmiB6SGTwHEmfvTMpQ/qf9cC1x6Npv63wIcfJCoFZr+1wPM9dwzMp/iwclL8 -OctaC1wu2+fCO8yHbILErbJ8rTC69Q8TrZ0PW5O709XkWuHnjXrZJzV8OB+6 -Vea4aitkVbwoc8rnw6R20iNX41ZYH43p2P+SDws8KWtBdq2w8P2eA3scH6pc -E3R64tEKD8fiL4+H8uGudyyNhcGt0F6dt0T25cPHiS+l2xJa4XXQAEOqKx96 -lX72HM9qhe27eO9F2PJhzb7OmuWqVjhKtoz2PsqHATwOTILdrSBwIIfrvDYf -vjZKPLxzvBWuJ/NynNrDh8affG7pzbdC0ERwqBmJD+0GVwtPs7XBDjHmwKNC -fDiquPOjt2QbXN+b+OsYCx/2fKJjid7dBh1O7o2Zv3ixQ4y2K12nDQSSFUOq -+3jx9L85m+qTbfD5Su/6UDkvBt08H9Pn0gaaI/ZqdM94USdHjjIT0AbSkx27 -lO7w4uMgZVbm+21wL5rw0fkCL4auxR6TftkGdzqtTTONeZGNpJukUtIGG9k+ -Ab8UeVFu9tCPY5Q24CT5ndcV4MVBxwcGzsNt8FzFnv3ZAg9uuamYcetHG1R9 -kXene8+DLIckuf6ja4cLSgNR7mQevP3a+nq+YDvECV9y/ZTGgxHVX6cp29rB -5r/P9GcieHCvb/35MY12SC45ZP3VnQe9BmbHF4+2w8XLwZeumfOgwdhFV/6z -7UCpy9LkUuXBooeqf7d7t8PrwuKWXAkeLJ8yD9MNawchrTQBqw1utJqqljn9 -pB04nPzE2b5wY/QDvxrv3Ha4t23PSH0LN9qM3jkXTW6H2NBO+7A33FjZ9577 -Fa0d5G+ZPT0Ry41vve6Sa762w2HBtwnyftxoUH7L791iO8zq0R9jtuNGz1cU -lZ+cHSDFt7fu+yFu3HPg7DKrbAe889OfG97KjX+WBciEfR1QsM7mQ+PgRln3 -1zc19DtAR8FgtOcnF5bGbFM9Yd0Bd/+uKg71beIz4VMXL3VAyHlFp6kKLiSO -d8SH3OqAfT4DQRspXLgo9O9A8qMO+G/7SohMKBdqLjK/L0nvgMKgx5f13bjw -a9TStc6yDrgVkK91zZQLV7t72CbaOoBV9NDf18pcGNh4P3F9pAPAyuTRNzEu -dHXbIyP6uwP2qncJ7VnnxJrat8+VmDqhr7r91q3PnOjbSJQ0Eu0EjS+63X0t -nJgacPXh2R2dYJinzKKcx4kHPr3auK7VCTySKXJP4jg3/dS6PjreCeE7guVZ -/TnxFbm6Pft8J5R/+Mh/04ETA9SeK9T7dkLy3rLxZT1OLD/jfHv4XidobmdL -CtrOiU7qAtS55E6IbKCp8fBy4o26VAnOgk54SCdanfaXA5eXRc/INXSC0Vjf -Np1hDvzy5eozzXedUH2O6/oEmQMP3i7ot/jWCWPhNflxrzbzW3tZ3Vc342ZT -nUZRHLil6b1yKG8XmFRG05ivcqCBypEzyaQu+Mdldb/NigOnRtMflKh0gULM -z57EgxzIXj/V2GHUBSMHbJ5dJHFg7qjIxpfTXSAhEvdLn40DafuVtNeudMGg -zLN2hVl29GncGywc3AVSln67BfvY8WmMTLtiQhd8KSeKM1eyo87DeTGDrC5Q -MkmOWn/Ojhc73l5yqOqCDbbJuxt32VFA91yDb1cX2M7Ts7G5s+OB3/9kH3zq -gn28P/jFTrDjlyG/O5l/uyDWKitNSZ39f++vuyGoXbnGVIYdS0+pWgxKdMPE -5QcOPkzs+HnmWsMvxW7o0aoKT5tmw9iqZ+rsOt1wWLNMfYjKhthQUEQ82Q1q -ziFXRcrY8Cpz3t4DLt1QWCu77/QzNnwZlFB6IqAbCg5HB2SGsOFJFRftSzHd -sHexxWTFjQ0j5OQ6Ql50g8ZQf6rVcTbUONLqkFzcDS2TRcEVqmx4JddmoaS5 -G97JnZ2Wk2bDrYZ9sZ1D3XAxZmQogZENrSU19k/MdEPolp3mvNOsyLc9Ynh9 -oxsI34yOP6SyYug2hfoodipQVEXTxMpYcWkvn5iYIBWWXX2Fs56x4nfV1Svp -UlQove2cqR3KijYqM817tlFhJWDS+sNFVtRVHJOtUaJCt+3S9jvmrJgtM+hv -rEGF3bLJEorqrBjJ3rc5n1FBuKV7x5gMK3770bvn3FEq3LOMt01iZsX69oHN -eY0K0ZRv2adnWFAs7eP3gDNUEJGhim3pZcFp7+8mrG5U2GVjmPmnggVVtVde -x3lRoee6mWXbCxZcpeflIgRSgf3mFCkrnAV1yNuu5N6lAl4Q4Iu5woKMvro9 -6g+owKrcKeVvuRnfdk6l6TEV3n0RM3Y/yILL3XeTzFOpoHRjPt5ZjgWVvN/Q -jeZQQXThHJ0rBwt+4h1yuVhKhXBLxyjP38zIk8FGXailQsiTXypBg8xYrnJQ -I6SFCjz1fHT/kZnxQ613Gi+NCrLddd9KMpjxlk4+T/J7KpCR8c9QDDMm1cwG -KHylwkziO0kWH2bcp7xvqmSWCi/MDzhp2DGjcaq/lc4iFcZ+7e7w1mPG3UKp -lZ30PTAC61C6gxnVYiPYbTl7oIN9LmeNnxnPSynqTQj1QKYpB9+xJSYsLnrg -4iXTA548hu5pY0y41bLAd12+B3YaZyFdCxPWMj7wjNzbA73ritwX8pnQv3an -pYhmD1xUGjSnJjLhqbthW1IP98Dv4Yz7ureY0Nb6+aiiaQ9coE9qqLrAhHfU -fEMqTvVAW2rhL81jTNhG4hTUd+wBGfIP4Yb9TKgu4RBDvdgDZ6xMlc2lmLBJ -2mPu9LUeeOzaazTByIQ3FLV1J2/2QPl8oFXwd0a0MG4P9ArvgeY1E7utvYxo -elUkde3hJg7Ssu2uZESPV7JFEUk9UHTr5PGgVEYs+jqRK5jeA9H/HmirRzKi -+D732JQ3PWD9/eeWf1cZ8VVk/rntZT3AZ3uNscaGEU/+LJAuwU09PenhezqM -KH/Ws0G7rQdMs6az7LczovTID4vW3h7oD//goc7PiGrO27stRnrAZHxut8QS -A15bIamMTvRAbpniBMMnBuxPHr7r+qsH6NhiEuZaGPBA6gP+P0s98EWs8vxU -AQMGnb+jcIuRBmtKDn6fHzNgxlh+Gzs3DUyP+VM/BzFggbjkYoIIDaienB7f -XBkwmaehgESgQViSkMXf4wzoRs78m7+dBj5t8YFMGgwotqu1QUuZBgl00d/F -iQyYc1JepuMgDb4fXH6+n50BZTTqGU8b0OD67Y9PTv6mR5+hJ9emzWig3qI5 -5D9EjzkqeZ4BNjTYJcrrkF5Hj43HNv5xnKeBtbuNQl82PVYTYziS3WlApkio -s8fSY1LhqSxFXxqc2G4RoxdAj9ZrDj3k2zSQesSgEHKOHheZ0u6euEcD8Q0F -thYTegxskWv6GksDE+9mRQFlevxo+OmBfzINSn4MP3aUpMdtIe+/cmfQwOyK -s/FbRno0CxCgpObTgDDvCnwzdGirGKasXkGDrcHjAR59dGiQrLqzq54GZ4V7 -V3qr6VCIIpfn1EGDrrwD9Vqv6LD5zbHqlX4aeJmKd+XG0KHV8RLLuI80OPr3 -iizRlw7b804F7Py22d8LzbdJDnR4tmi7Yf0cDWL9DN9KG9Kh2WGpIctVGsyl -2OrlKdFhspPSngnmXrgq4mN/VGxTX/D8UW/eXhAeTWRapKPDI8fLFNfEegG/ -3756rneDnC+5qy+E1AsJVWN/fqZvkD186oB1Vy8U7Dz+8LHvBjna3s8zXKUX -BA92GdgZbZCZ+445M8Emf8ZWVFNig/xh8DDxllEvFGot0KvMrJN53O1e/jXv -hcndL1hMatfJKTHxEy6ne8EVrbcGPFwn/7/7g17QmZN1aDq3TqbecMbDV3rB -pHG+YKfKOtnPiM4yz68XUlSHpd+wrpMD0irzhO70goZxZ7rx8Bp5KDqh0y+y -F6RWu/Tp36yRE5keFQ7E9YKe2Ue6vttr5DyON7b7n236113vrzuxRpZ7/q0l -JqMXXN7tbGrbukZeqDNY/ZzfC+c5XLtmF1fJ27wb/6pW9MKr0aLfih2r5IKi -c0Vh9b2gasGlFPF8lfwkiKjS294LLK7Xwta8VsmjH+huSvVv6kt//xdjsEoO -otBFnhvthZteniEaEqtkJ4/vFwsme+EJh71A148V8mXGqN38v3thOpz9vnjd -CvlpyMe+0OVe8Jj2WZCMXyH//Dllz8nUB7v3pR7pd14huxlltqZz94Hsmfsx -+horZI4YCTFz0T7Qv3qg2p1zhdxZo2vMR+yDVNeMAZORZXLFsKz9+I4+UNan -ffyYt0xu/VxoQdnfB0sstX07g5bJ64OLu8jafbD8xu3tbvNlsk3l36lWoz7Y -caA/dIq4TH4f/ip88kQfPMihO2Qzt0S+pcPJLmbfBzoMM5NB9Utkk8mtl0+7 -9AGf9n+BZ2KXyFr+88WFV/tA+AzD+l/HJfLJf/4jEoF9cPKCorvO3iVyrGPx -t8SwPqg7LkY5TLdEni97Obj1UR84Ext4NroWyYHLh19TnvaB3oCinuezRfKu -7c8cAjP64ISXtdPjS4tkusOv5/UK+yBxTtfLT2ORvGTi7iFT3QdC1j/cuVkX -yWLan9s5KZv1U0+dtO37R7aX5uXkovXBK9odBYeX/8jNUz93yY70AWXy0jex -K//IVi/u7tWf2uSPC/8XeeAf+ZCee6LWnz6gFdrCO9Z/5HIqDFHW+kDNUPiX -zMACucqIbvkzaz8Yp+pnO2UtkE1z3iw+4u+HjfrvFzNvLJDdFw37eyT6wSGb -VWXy+AJZfE/3gzS5fnCzfMK6RWGBbHFCT4F1Vz+IUl6M29MvkCXPpKesKveD -x7p0c/yHebKH1dxciGY/eK3wFbVUzJPN1RW3PtPtB4la/4ylx/PkOiZLFSPj -frhkYJ0mHzBPrq1yk7tr1g8u8blZJ+znyQb2l35aWPYDf5bv2wDdefL/AFqh -jos= +1:eJw1eHk011/wt33f953PR5ZC0YaKkSShIhLJErKUIlvRZokkJG1fkrIv2bfs +77HvfOyVUghJCVF2P8/z/J77x73ndWbuzGvmnjPnzpDs3E5fpKGiotLY2v7P ++f/XvMrU14JIMr7PZ2W4flAVzr1+c5p5C+/3udBRJagHeIJrn0kEGTsuP3Cj +fXcatmmohUaFk/H5Btt5A4oFBF8RMqx5SMa+MnGzobe2MDGc5z8eRsazF1ny +ElMd4Mhjatn1B2T8HtBecfO9M7y5x3KIaQvf43TwdTx8BVZL22oZQskocd/Z +K3/WHUx3Hi1fCiHjWjVzsNp5T8j85kMeDiajU+6zq3pj3kD1yXa15B4Zzc3Z +VEaCb4AJH+3RoCAytpRdaafRuQnJEVab2oFkvMbnRQ5nvwNP+idaB/zJeKfP +lWWniz/U+PLrSd4lY8XBLmP1lgBQ8pvyEbtNxnG4MDkpGwT9A3ZnO/3IyDBC +blMPvAeVjwKm9t4go7Ak3/rOoWAYTT6qZORNRpGVvbebVO6DjkAuWcqDjNwe +wQa0IaEwMVbVknyVjEsh9M6jgw+gnt2DPHyJjN1qxT0Ocg/h65M2xXZHMsbd +f/owzCscDvq0fr9qR0Zrz6RoEyIC+oqumjVakZFlbWSSYHwEGadKvXvMydjP +ld4wKRsF1bppuk9MyCg176NZ0xwFXLEHW5hOknEiwcra1eUxvDnuvb5bb0su +dV5miikarpwxHePWJuOAq8cT1bRo8K1675dycCu+0DfpZ3WeQG0ATf2vvWTM +uTbhcPzrE9BP7K7+oUTGnu06zWw3nwKXpO7lOFkyOueV9STwPQPeJZsWGkky +3qI/do8p+xmYKkl+EBfc4rv95yctnefQWx0U+4uDjOyiGZ/0Pz6Hx1kR7B4M +ZAwfuh0k6/YCHv49tPvtOgmb0ffXPdr/4IXN0O3qBRLykLL2C/73H4RlFlzs +niahzRqfzWfFGLAdrJ0aGyVhyekix8GaGBCfYGX5+4GEnNvCTtCfjYXKgYhG +xm4S+rg/53X6GQtHMvQkhZtJOKz6oXQt4CVkWR3i20GQ0NTvtEajYBxs/HFO +OVBCwnZVmoS67DjY7drZo59NQmP372MLR16BYaNr3LlkEr6Xpmc49/EVGNDo +0Fx6ScLLp80Z/7jHwy4pUzrfaBIy/9/3eQ1Lkq8S7z8gYbpUYhrGv4ZUasnP +z/xJKG9rmLQi8gbUGz4UJl0nof8vu9EcxzdQcKlDNv8qCc+X8nHfLngDnPPL ++6ovkrAcL+y6uPEGjC1tRlrPkzCeQV/DST8BfFPX5QdNSEgX1nEg6HkChPT2 +sY3pk3BW/6dc2WgCXB/9Fj5zmIS2x1PpmHclwslepYxlNRIaBa9SPG4mAktq +7gU6ZRK2bEyH/21KhMxzl0o45EhYW3xd7SlfEijPWaUJSZDwcGZ8r8GFJIhz +CdtL5ifhmcerShw5ScAvyEu/nY2EQlLbBdtXkqDZUBR30JLwgZnco+BjyVDM +knRRbkUKk/f+fXbgaTJ8MspclJiTQrfyZwrTX5PhkKSKO/d3KRydpDd6oZQC +fd6q/RvDUshYpUsLfimQalq1bbJfCgfUzY+ONqZAQUWtdWu7FJpYHxAI4E2F +pcxjQel1Unhzxy9vUdtU8CYbRgeUS6FxvLtDflYq7CRTHp7Jl9qqP/Uj2sup +IJnZ67Ytfcv+7alfXUfTQL/cTHMmXgq/Tg6HmkenQfZpi38Fz6Tw0kpywafh +NDDwHIr1CJfC+KKDl88rpANZ7LO8UpAUBgokFQxeT4fdJ6wTRn2lkEtqKPRk +fTrcYrChe+IuhYbdY7+QKwM2jn0x1nSSwr07qkZ2WmVABfeXB9+spLBlh5PD +fxkZkGdvnRVsKoUsvSPe64sZ8EXDqoxkIIUrZCUBa+1M0HszlFd6WAqfixw/ +Wh6ZCT/CPkTrq0nhcNk+Wp6hTGhcN7MZ3CmFvVR/Tl2Uewsfl0wEbGS24leb +qS/xfAv+0g/GxkSlUDXj6SoTvgU+mdx5J54t/iYTfyzZsiB6veHINNMWP9Wx +7FzzLFiyeJk9tCGJBqYPt9OlZEGSY/jZL9OS2Jg57GgxlwXSJJvgkfeSmHng +88VcjWy484BXdbRBEudp78syhGVDzusM15ECSUxg+JRuNZANxfYk2S+vJbFY ++9PPYnLOVr31sx8Kl8TdpffnOdxyQH+xeNuAryQKOA2XOVfkwEBtj0uXoyTa +nfqqXceYCwc0u3c3mUgix7WICAnTXPB2yr9bpSWJ0u3jMX5vciFU09OoQEkS +42ynnAZ/5sK1OoGUFBFJ1BRsvxkrlgcqf1/efsEoiV9FfJNbtPOgeYDm4/0F +CVStWFVads4D9YsG5T4jEnh4yURo+6M8uJPgLeHQKYFr3QH2FsV5EPcwgMGo +QgJd9YI5w4byIErazeNAugRGWFqLVVDnwzkXTTvpZxLowMoe9lMuH6isfvez +BErg9NnH5hIn8+HGRkDH7FUJlDs8fd/IKx9aT/zV67eUQD+b2eHA2HzgCZz3 +KtOTQD0Dn23FmA/uPWJ34vdJ4FO62+aTE/kwpuntcI8sgRYP6W4KsxfAlaZV +ucucEhj/hS3cYE8BsLvmNZ9eE0dr6ucPb1sUQK3iE92DU+IYO/vaN/duAUQy +JydsGxBH4+wdZiMpBeBGM/aBo04c76vuJ/G2F4CzoNHv5Vxx3P+o9qPOfAH4 +6E2PjMeJo11RV6CPUCHEPivK73kgjixpVsLpmoXQt/HWGn3EcbeTa/wHh0KQ +udf9PcdeHHsXVrlZHxZCqIyMUbyROM6eYvM6lF8ITGOpTyM1xDHsxqv6K4OF +8LLSrOTuDnGMv5pL+3q9EA4X7C2+JiiOyru1VSjSRUBVr/XYgU4cD9WdOUGt +XwT9c3765nNi2ET6cXa3exHUHhz7ajgshu0nNo3snxcBJviaareJ4cljz9Se +VhbBe2nNFLVSMTTkyOJoGC0Culrl3l0pYtiYeKR3kakY9G4ZD8tFi2El3fkQ +2V3F8Ob0m0apu2KovHdR7uyZYuA6Kh4u4iqG0U9G6u/fLIb+Bd/tAhZiaBH8 +x6U0oRjUaJ1j+HTFMIhZQXCqqRj2uE8O8e8RQz6p0HbhmWJoObg0Kywlhswt +LGH6fCWwejH2vRS7GNrQFZ66eaAEGmc6onesiKJYj794lm0J7ByIllSdFEWN +3V5/PoWUgJLY1B3dPlGs3hbRzZ5dAi1IyTOvEcW0jLZ3mr0lsFF3vPhKjigu +4K5Ut+US6JIxDQ9+KYopl8ri3ki+A7WJ3/vehIpiQarzy+6j70CLXrygynur +JntrJNK4voPRwCGqYTtRZBhQzd8T/Q7EbBTI1EaieKzlbLND6TuYi2ETkNMQ +xemTryafDb8D2z13P53aIYqLjkycTXSlcEkhyPumoCjac8doLu0oBc5AoZEM +OlHcd/KUz3bjUjBUBcmhORG8JK5Qcu56Kcga/NvF+UUEae4qbjx8VQpxVVq8 +uu0iSOVpcrKqrhQyI0Vb7paJ4IXl16kzU6VgUn3fuDJVBGV5eBiluMrguWFw +1uoTETxdleFmvL8MvNX5PmoEiGDZjY6BwPNlIH+R3z3oqgiq1o7tLgosg5lc +F7oOSxGkxPwMGk8vg3ph7hcix0UwYHOyRaCrDN4mssq77hdBvT/9dHqLZZCk +b/GuRlpkKz8le31FyyGTe0VHlFsEpYMfWGQeLoeGf78oNzaEcZf0Kc8hp3KY +Xdtr+XFaGM+fYgxkiywHRVLXGHwQxnjmgmCNonK44VB5KaNRGJeMT925+rEc +BhrXfgsUCaOz/JfLr6kq4KhelGdogjCOhV84SZGtgPbvPovrkcIYcndAhvpE +BVim53lfvyWMQKU5r+JZAZshGgsLLsLIxflfoV1MBeTdE7/mc1YYN95+c3lC +VIBXgtHPVZ0tPCDNXz9eAcc/D1wM3i2MQlFnihdYK2GXWt5nHilhNBz01ZfZ +XQkyBZ9MktmF8XlWVN8Z80pQMjjfrL4qhEucsSYhdypBh3bXwd7vQuhN/byp +JLkS3D6aZrkPCCFjwD3lydZKyKB0iPLUC2F+5MVIwblK+Dse8+BdvhD67FAf +OSZYBcYiZYu2r4UwYX/l3hsaVVB7MzmfI0IIA5+9fZpuXwUGQv0cNX5CKHyM +kfrDgyqY+K3PfsNZCJ0MunyZ86ogloYhe4+ZEDom0m6qD1SBgxHDzJ8jQkgy +TI66tFYFuh8MKKUqQvhYL3/nS3I1HHo1YBIoKYTFL3Z8aNOrBv241BtG7EIY +pc4VtXa1GtwGKjSlVwWRvNPOROlZNWQYCKSufBdEF28paeuKalhZLc4ZGBBE +B06DzciRanCYjDV/Vy/4v/9rAibZG5LiCgTR6+D4p1klAm657Y0KeSOIjztM +h0mmBMizTYl7RwqiY4Hyz9N+BEyPjRo63xLE+akbDPfeEFD7lyRue0kQ93op +KRU3ElCgkxp53lwQdxiftJ34SUBph1uCla4gXsFfXQ+ZEfoe3zljv1cQ4wN+ +eCeLITA97sm8Qt7SdxUnV+1CMGq7nHCLSxB5/EP6+rURcrX01aM2BPBMlUzE +zBkE2bkrHuk/BXBBetWA0QWh4NOAYcNHAfxZyMAtdQvBjDa4eaJZAHep0g6o +PUIgMX7gZ38ngNnX3z41TkSQC9tzUS1FAO28Nw0vFSM4hz8tcX4igKbKmxuB +zQhj7GvM8QEC6J+emflyCCGW45LNezcB/PaZ2qhoBiEscrhE0FoAgyh0v9up +a6A4woLrvKEAnvcvvD/OVwOibEOXUw4I4JVf7CIbcjVQwWzf8kdeACsE+VIF +DtbA85A5+WOCAqi12aCw62QNZAWGhL2mF0CqZOm3xy7UwMo6aWbtDz/+oVfa +ZutVA+FLdSY2o/woLzP6/Mb9GjDycK1opPDjM+ojtI9jt/BlUZk9BD9qvDzl +kpFdA2FjlKjkbH6UmGdsqcEa2PgYviESx4/qDK7kj701kGN86urzMH58NHjT +e35ii88xoa8Cvlv6jqq1LCtbfCsnTeKc+PF7fjyTNHstiORXtcia8eN0eb7e +QalaKNgWe7hEhx/lAtwDTfbUQojg7Qr9PfwYTzdUfFm3Fp4/cFT9RuLHU0fn +RoMsamHYz6w4kIsfDxwpZI5zrQW7acN9spt8uLv/unLR3VowsYiu6vzFh6w9 +z6zao2thUtvN9NYnPmxUZ3/8LaUWxNPHlne28eFFtuHWtdJaWAr9nT1Rxoej +x5lY+dtrwWM82j0pnQ+15x8aK32pheiqjsMXX/DhPXqX+KPztXCa+w1JMYQP +04Jez1nR10HJV2auJS8+fOu6y8BHqA7KFFjZW+z5MBqF3kYq1MGF+SSh16f5 +8NxdS+40zTrI3tu32+8wH9Jm/LtNGNdBzPxLy3PKfHhf49fsoEMdKCuuRoMk +H84fOnRp9nod2I7Mvd/OwYeQ9m2K6WEd7OfxVxJe50W3WxNupPg6yKpOiWL/ +yYv+lYfX1PProHHCjoZxiBc9nP5Gnq6vg4Cwd4H0rbyoe5tO/vJgHQxnJHEz +l/Hi6vrlpqAfdfBFRy6XO50Xn0wpXolbr4NAS21LyRe8yKp1TLiYqx6afy3w +7w7hRQfqirYO6XrIW9X8ctybF98ohd6b2F8PB4Kl3jk68GJ1fc6RzeP14BQW +8yrUhBcrG3cyC1nVw37WzOhcbV6UsMrpVHavh2s3Yp4MqWzZy++NOB5UD2Pa +ua/YSLwYVReva/e8HsIvTedpc/Fi4hPOZb+MenD5faLj9iYPPifJpjyprIfb +3QPzVTM86HllVD+rqx6auEJItMM8uOe6zo/60XrQy7a0ONHBg4OahkGfF+th +M/VsbFwlD9rXL/P/ZWqAn+u+32bf8iCF8UQih1gDcBbUquq/5EFZZl15uV0N +4Fyn8jQ9jAetm7+lgXYDLKk0LbP6bfk7up1kfqYB6tYDnb1ceNDHn/epu3MD +1Mhe/DpizoM2vklUD242wHzWlQumejyoqPzBMSGyAcyj4qbbVHnwS3JRY1lC +A/yjzN3Rk9u6P7hPqqeoAdqvXhFtFeDB+abznj+aGmDAhbPGiIEHTXy2I81Q +AwjUDrp9WuTGmNGXDKIzDRB5vVHuyjg31rMV6e6hboRDYUPfafq5sX/hmr8B +XyNIrggUvqrnxtbY/kJ7uUZQbboRolHEjckbw19vHmiE0Dkq+9EkbnSQimR8 +eqIR2H1z9cKfcCPu0diZZdsItcmHxA8GcaOvq65lvWcjxFXYNs54cGNoa0bk +p5BGeFUlqpNmx40zJ5yaF2IaoSHzynOH09yY/fcOE3t2I/AEmVXJanNjY/3s +SRlshPu6A6W/VLhxb2nlS43eRiAt/gwtI3HjKmV05sxEI3yLjFUK4+ZGMU57 +vavLjdDB+yXVhpobn3popIewNcFQUPmC+hwXOq5e43gt2QScXxQFhUe4MDKD +6ua73U3gKrOfY53C9b/9aRMsmA18GEcuHPVWdPpu3gRxnux+vXlcyPu0ZYLK +dUv/5tBMwxsujB9EV+G7TXDhqpZaVRQX3tXiWVaJboI7hofMyvy5sKKz6qF+ +ShMgf5deuTsXngys22Zf2gTyrUucaMuFapbS9TfbmqDUpextqxEX3rL47PJ0 +uAmuLnCJfdTiQuHbc/zZc02gf2nTdkaZC9kabZob6JrhZNs9P0YSF1rslQ8Y +FmyGG4IJzjLcXLjepK/1b0czNJ80VdCj5sIV/yZ6Ls1muDV6KPjLKCd+WtVp +kzduhq/Mx5XV6jhxV79L2GGHZvghKagQm8SJC2z7j5y73gyR29K86e9xonxS +xqJHWDN8FFzm9HPgxO4X9QkPXzVDwzLbyj8dTvzxI+RYcl4zGLeO7rkrw4ke +cbMTlXXN4P/Ar4qTgRPds6j9+we25Kp9zzMmOPCTSDnPzFQz1Pb+JgybOLB8 +Uvg1w3ozDFh3qC2ncSATL0lGkqsFIt+7UueGcmBLXFeyqnQLjGl1Cl914cDF +ezISRvtb4MeLuYD9+hwY20Z+7Hy8BQI/D6gzKXBgnnvTuv/5FiC47xweY+XA +fV68DjFuLfBq7+R/TT/ZUXGAoT4/sAVEdHm0ijvY8b/nCeKtz1rgkO7m/qwc +dvQoHnMfTW+Btb05t7MesWPV7o6qlYoWcOCR5CtxZ0c/Dms63q4WcB02Xmk2 +ZsdMvec6CqMtwBOjqzy+mx2Pj3jfObLYAue014tZ+Njx/Pu1fEumVtD56P1Q +fZENh5UUvniKtkKfbV7etQE27B6hYgjf2QpUA1kyhe/YsF2oRzH5cCsQYteT +1v9jQ8t/8xaVpq2wISg6ZuTHhv637SP6nFqhoum/b1mWbHj4rXTTT79W+Ksw +m8atwYb/+e9hpI9shWwdktJdCTa8tx59QjyhFaa4Fb0WN1mRiawdu6+oFeKf +cN32GmFF6RmtXyeaWqG/pfPYWi0rvr/wSNfxYyuEFjn2hSez4rbbSql3fm35 +M3lPlg1hRQYtUbYXVG3gnia9p9mJFe9mmt/I5W2D+Fw9es/jrBhaOf6jSbYN +LFyPxcgqsKKKT639V/U2CP4s+XuUjRU9BmdGlwzbQJm2hyF9hgV1v15y5rZt +A9vPVl+9KSxYELV/YbtnG7C41t00LGDB0u/GIdohbbA7l+aDwlMWNPteKWEZ +0wbvU0WXeH1YMPzR9SrPrDbYOM3xgc6cBS2GA+zCiTZILPx4c0OdBcv7hthT +etqgsdn/K5UYC5Z4BBNV423gFE3FyLbBjLqld64PLLVBGNe535JfmdE9pWnf +b9Z2kD8SEXOolhmVD9iuMEq2w9EdsfR2ycz4Z4WHkNrdDjSDNlRRIcwo6Zp5 +W/1oO9w7V2XW6MyMxRGy+0+bt0NdUQETvcEWtrn//dLldmgdBwFDJWYkjbY/ +DbrTDg9/Odx9ycmMS3z/DsQ9bgeOdpLOnzkmPLhEP1SU3A6mAT4OJn1MOP5w +2avjXTuYs9t/rShhwrWubqaJ1naQdp98pxjDhLfqI59vfG6HzAza6ZSbTOjs +oiwhONcO/8re+cpZM2FVdcnrXXQdQJ1A65CvxYQ+9SRRPcEOeG89mXFEmgkT +/a5F2e7oAKc/dgbD9Ex4YCRl84ZGBxRb++gFfGfc4lPt/NioA+rfkBIU2xgx +hahsy7DvgP/KHM58zWZEP9XX8rU+HbA9Ay7GRTFiqY3j3Y8POiDQrYBi68mI +Dmo8lPm4DnjBVvVCyYwRb9YkirDmdcAVf5tyGnVGXFkRtJGu6wC6thi1r6KM ++O3btVcHBzrA5udlnsYNBjx0N6/fZKoD/L71HysaYcCVll5G17UOMCscfJ9Z +z4DbGob23OPshHnzaw0ZaQyou8/AJo7cCWym19vywhjw+3Dyo6J9nWAtXZ1O +XGFA5trv9e16nfCj8ajVgBEDZg0LbH6z7IQ8TY6phT0M2LN3l+b61U7IDRM0 +FBVkQO96lUD+wE6YzLwQcnyFHl9GSLQpPeuEs69/vrj7mR4PRy0K6aZ3wqZT +qX8V0uOl9pLL1hWdMErXoEWbTI882nZ1Pp2dQOvD897oPj0emPsn+WikE85U +vNFNvUSP3z5cD0hb6ISJPrdw2pP0/zu/7oLS2rsZzir0WHx2v8l7kS54d4/y +qp+PHsd+etXNKnXBrIidi/4SHUZXvFJjPtwFDsF72ZqG6BDr8gpIpl3AUX8s +xICgw2v0OSoHnLpgfuDl4GAiHSb4Pys+7dcF3NXKVK4hdGi6z0nzckQXOPsy +UDFdosNQaen2oDdd8I9JfPDtCTpUN2ixjivsgnpXr+CzKnR4Ncvib1HjFk5m +Y2Xlp0OZY33RHR+6YDNv3KlxiRbNRdX3TvzsAq/Hmy8ffKJFru2hHzc2u0BK +91yaKdLiPVn52ofMFHAVvWMul0yLyypcQkK8FKiw+nObOpQWp/evXU0WowAT +e7ng2GVatNj3s1FZlgLm23tk2k/RorbSV8mqXRTIKjiYXrmHFjMk3vseV6cA +dcbf+CJBWgxj7tvqzyhgxkbLUrRKg1O/epXtDCmQ+8l2qvwLDda2DW71axRg +EeHSaKmjQaGkL9N+NhRwquei/5JGgz88p/UZXSjQOGR3eO0hDe7XXM184kEB +BVuGeSl3Glyj5mSTukWB/4xXBU6Y0uBhQvZqVjAF1nIP5/mr0SCtj3a32iMK +XLz5oapCbEsua7ev4T8KdBbWwgYVDa50BccaJ1JA1WJ9n944Ne7yzKYafkuB +1MsP3sS0UOMI5wenS8UU4Jt2vDufTY0cqUyUv9UUuDf4rOd0NDWW7jukHtRM +gRVlgdhyH2r8VO2ZxNlDgSv/Jvu3W1LjncO5HHFDFPi2gzXkDVBjbNWMn/w4 +BS603c2U2EaNu/fs/l40Q4GP748eSWaixuOJvmaHl7bya2JlpPKLCnfyJZZ3 +UHdD7jMtbOimQtXoUOZzrN1QveIScKGECu3FlI5M8HWDf+CHJ3QvqbCw4JGT +h0Q3/FN89C/nLhXKnMnz2ZDrBpmVsCxbByqspn3kHqbSDQw/2guEj1Ohb7XC +GYGD3RC3ZsryUYkKzwaHbEvU6YYpFenCBB4qPGf+eljpZDf08LqdG5nZJAJU +fYLKznaDBvO2uYjSTaKVzMp79EI38Olc3HE8cJNQE7GOoFzqhtP1uxl4DTeJ +BnG3eUuvbuAMC783zb9J3FTS1J683Q3kZx4pPV82CJPjbbc87ndD/Pg35+aM +DeLkNYHE9ahuCLs51tnuuUG4pUgWhMZ2w5DZ1cEvGhtEwfhEFm9yNzy9HhxI +zbRBCO92jY7P7oaqz/IUlZ51IiUs1277u27Qf2CO7nHrhOnvPPEi7AZtPz6T +asd1Qs7WvU6ztRuS886HiqisE+Kff5m09HaDl6KKbfDqGqHquL3L5HM3ZP56 +/HGjYY3wWiXvG57ohuMLd/6FRK0R/XEfg51nu+Gs1lKJuOUacSDxEfef5W6Y +MIjbViOzRvjbB8jfoe0BocfMhm6zq0Tq19xWZvYemOfUUpKvWCXyhEWXngn0 +gMvWl3A6eJWI46jLI0v1wO1yJvFyo1XChUhbyN3eAwcnYhWeiK4SQootdRp7 +euCJwd8/PhMrxFtTOYn2Qz0Q8UPE52L+CiGhXktrqdsDKnVMBda3VgjvDzFe +P071gHs/kWZ3bEt/X467n0UPnCJpnb3Gs0LUn9j8x2LfAwOpDzDs8zJRSYpg +iXPtAS7HuLGc9GUiNv9supJPD/Rb38DPnsuE+bp1N3G3B7Qei58VhGViiS4p ++PSDHtBff5hmybJM3GqWbhiP7oGFxLr8zP4l4suxkUe+cVvywHpvuoQlQjZo +aJw9tQf2v4z84+y6RJzy42lKzO2Bpl8khfeqS8Q5pZA9amU9sOx7R+w07RKh +G7dfobO2ByiQ3DTQ+Y/ga5LOcWjvAR14ougY+49ozD5RudrfA5Y3DAyoHP8R +ZkZFZ5586QGB6XbpFJV/RFvOWT+Fqa34XwiWmK7/JWwLth+rne8BK/J8vlDL +X+KUjtiHM2s90K0s3bTy9C8R57BLeYK+F2prMxdXbP8Surz2hp6cvbD3faiG +sNJfwsDondK6UC/suVYVf2Z5kcgVVewLIvdCdoSecF7DIuHmXQOMir2QprQj +Wz56kQi3uu5+f18vyBrbnSOsFwn6vhOOdNALCkuzUl4Ki8Sn9zqkO3q9kEMe +oDq2tEBwuJ5PWDDuhSIK94pqwwIRH/F0wsmyF7RoktmORS8Q/29+0Au278LV +vGwWCMpNR9S52gszv9tuE4oLxHU9qjM513th4+2FT/Irfwi/pPIcvoBeiJ4+ +YZrX9If4EP6s43pYL7zNiRo/8+wP8Zzucf7gk144srg9Stj+D5HDkn1u76te +OE8Im64q/yGkX081R6T2wjqbrcrqxjzxt0Z3bSy3F8SH1+SEO+YJWc/6hf1l +vUDsnlU983KeyCuwKwip7YWfLAcu5LrMEzH+pH29bb3w1PFjkpzaPDH8ieq2 +WH8vVB/t3qximCf8m6jC7IZ74Wq6hLdH/xzh4DZ9KW+yFwYebeSzJs8RV2gf +7uSe6wWV9SwHI4854mXQl757K71wZ3Z7hJbWHPH793crVro+qHPxVvjEPke4 +6KW1JLP3wT/nRyA9NEuwRIgIGQv2gcTM9Rb+9Fmio0r7OBepDzSWd7YUes0S +ZR8lrUZ39IF+WJHmutYs0TKWb9K0tw8ME5h2zLPNEhvvlxQJzT54dMtMQCb/ +N2FRvvC9Ra8Pzteq1Vce+00M3U+5P3m6D7j5Sq3efp4h7hxmZRay6oORa32j +sx4zhP6kzBVLpz6wG4owiWCcITR8Fwvzr/VBq9G3XP+XvwjTf76fRW71gVTP +4L/Wnb+I6AuFU89D+sDExlnBteYnsfgu4b3M4z5wX3qm72Dyk7i1opPZ9LIP +bryyPVP4bZpQ3P7K+lZqH1ifbDaw8J4mqHQyF4/k94Eia4eSGf00sazv6iZR +2QdTvVdXM57+IIQ0x9pYm/ogMD27yFT6B2ElzsnK1tMHtA8eWJjlTxGN338r +Sn7ug1veND9yNKcIszfBKke/90HzFSFH67bvhNYR1+caf/rgfeyft/MW34lS +CnxoWt+yJ1MZs/xzkqjQo1oZY+yHTnaPXZ73JomTb7OXHnP3Q44J/xUbqUnC +delYf7dIP+z4l3K6GicIYeWuR0nS/aC3JP310cUJwuT0EXlGxX5YNnvE38cx +QYjaJMev7ekHXd7v82GV44Sb2fx80MF+2Ku080bR1XHCWE1J5pV2P7xLvpBi +JDNO1NCd2ad3vB/6fQP9bL5+I6orXKSDT/VDTFbUv6+vvxG6Vpd/m5zpByqN +cLF++2/E/wB1Q9RU "]]}, { Hue[0.9060679774997897, 0.6, 0.6], Directive[ @@ -368014,202 +368016,202 @@ jos= AbsoluteThickness[1.6], Thickness[0.01]], LineBox[CompressedData[" -1:eJw1eHc8F17096dIisgoKskoZUWLKB2jJJUSipAZGUmIIlnRoJTwFSJ7ZIfs -87E3n2UkCdkre4/H7/k9z/3j3tf7dd/nnnHH657Db/zo1v2tBAJBbrP7n/H/ -NxLxWu218TVsz2RicDonDf4P1ht27VhHKUejxmIuFciQIPH8FlrHRqs3j+h+ -3IJTe8vyCpTXMXidWe8qSQf28dC+xj9YR1r+wdu/vhmCmQJDy1e/dbxzf2dG -dLwpsPtoayVlreOQR0OhS/sDODxSx0/sWMeXrKbPzBQewueH+rL99BvI++qB -Q+akLTzevTuS+8QGrpbs8D6rZw8plN4bdw020Dw9yEbl7xO4mt1yLdl/A7W1 -mU/0eD8FtZyhIMayDazNf9iw9aILZLUcEH0yv4GPOR0E/Ha9gF/Z2pfP7yEQ -X9Csdx63cIfa3idRjeIEYuG5ZnWZWg+Ic+iPmbhIIPaD0eCgkBc8tExQi7xL -IDL0CNTLeL4E/orCqD82BOK+Q5xrx395Q7mHwJd0DwJx//Jp1+oTr+BmzC95 -1k8EIpud91U6n9fQcGzQbz2aQFz02fagt+0NnGS/5OGaSSCSz+ZQTI/6wiuD -uYN+JQRi+KtA37cOflCze9FYpI5AvGcfE6CB72D+yPWb2jQCcedqzyBu94fd -kbP9e34TiC27EysHhT7A3ucTgiZ9BCLftOOF0poPsLNYdqf8CIE4EKV/z9ri -I4wa/QxJmdic59M7MswYAD8s65oTpwjEVmu7T9IJAfC4bVf66ZlN/15/Tbxz -8RNwJcZI3dzEaY8HTK90f4LkTl+zlU0+RfhiDbNLIIg8qbog9Y9AfJCRT4ni -DIL/HmsgYZRAfL7t8kvG1CCYJJ8cutu/aa/wWKf8xWCQCjbNv9hFIO46kNSp -2hEMD0qGTpa0EIh+v1y9hB79B69UUbO6nkCsIT4bf0kXAgcNFUIPlhKI7Pwp -UlwhIfD9cM2LF7kEosEqp8Fvsc9wJURj68g3AjH3VrZZW+ln6C74e9gwikBk -Pfz2+rY7ofDE7+lAdzCB6GgbzGE+FgrMu9gvW/sRiF3SP/NWPcIg6nyG8lZP -AlHT+ZZcFVc4nD2g0R/tRCA2SG+NKk8Nh6bEZQG1hwSiuu3Q31mlL3C/P36D -zoRAbBfcxnC34wuskO64VGgTiFa3tLfP2EZAgNWuYH81AnHH/92fSDiWU33j -/ub5SeSLTiBGRAIx1SfxkiyBeMzwWszy/q9wW0v1q6Qkgeg+btybZvYVJr6x -SwsJEYh6eZxsrllfwTvzj7UQD4FYQDSSuL/+FXjMMhVOsBOIEQyqcuaqUZBd -+ypHmZFApH/bKOsVHAXBeZUfGlc3cFJ17Gh+bxRUnfOeFRjeQMMr8fQ7JKJh -lkct+F3LBt70XiHZuUTDYT0+x13/cz/WR/3mq6NBc2nlU3TaBpblOJ0N5IwB -z9nuf9fCNlAhOYJ61SgGcq43v2F+vYFaH1fEWdJiwOkP+0ypwwZy8wlzNSzH -gNHLhaLrRhv45vZRf+/LsfDovOtg+vUNjD09HyQbGAsJ22PthmQ28FFBkOho -dywwDFjdWziygb2D227+Jx4Hr9poyZ1sG7i9WJkOnONAsrPrTsjaOrbKaF/q -rYqDLbNv7osMr6PGPdm9HhzxsMjbSg2graOLyPiTA4bxwKFfHk3GdVSPsDXN -TIkH7TR1ykDy/7w/FT2KS/FQxu5hTAlax+2uw+PNlxJA/a26RqD7OnYPdr3W -DkgAhj3lsWJW62i5HJvV2ZUAvVktt8O01jEi+5yVnmgi9Jm8seiGdfTcG5PV -5pQIrMe6OleE13E336/XahWJYLBBzRjnWMdr5L/jxN1JQBu27M9ZW8PTIsU9 -x/WTwG4w2llzcA1rRcxNQ5KS4NSii20taQ13UnuerM0lAQ/PfBVnwRouC4jv -vaeYDGIabC+kYtYweP+VSwXvk8HoS2PQcb817Mo/Q8f+KxlKlkVY1hzWkEqY -uXH/6DeQtxIejNJfQ/WzExW59t/g6keFPAnlNZROClxhJH6DC6xj3PnH19BT -Y2BGlzkF9vUf23GBa9M+6b+p6dop0MW++LpkYxWvavoK08elgF+ITojs0CpW -JXeZ6UylAL/jdeks0iomy/6+ny6XClHfqPeP5K/iNN0rIYa3qcAoMyYYGLWK -UQydifqtqaDN+9/j9TermKPYOZYjkAYBujQ1U7tVPJn3aprlURpkT8YUVt5d -xb3mXfkPCtOg5Pe2PAGlVTS+0a1Yvj0dMgWWlVxEV5Hl8bt3vJrp8A7dDZo5 -VlGwof+z89d0uJX9eeeh1RUMNxw2bxtLh61bLl2y7FvBC1wNLqE8GRAS58ma -2bCC3fufxdYqZgB39C2LmewVlC5cEV96kAHu89m3TnxZQYVFDW5h/wwgRyfV -W3qv4CrZw0QnJwOYEyRpkQ9X0FrFm/Xtrww4TqdqTdJawXe693gKt2TC2R9z -n1blVtCUadfbsaOZcLz81NXDQis4euejNq9aJjAfpQ+4zLKCRxVGX910yARK -r9kDs/lldDaY7PIMzYSlQ7drvbqWUeWq4+EcYiYsbm9Ij65axkB6V+3BgUxo -Dq05UJ62jDq+9C77dmWBe/vVXf3Byxjxh9nv6qksYCjVesnotoz3tgT7uupk -wQPNAXdx82UMnYx8lu6WBREBa1s1byyjeqrI7Z64LEh3Cdv5XHoZX0lL8XM0 -ZEEoK/G/uEPLKOVf1nFxOgvuqlklk7Yvo3F2s6cj93eYk/6iuPZvCXcm6O9L -vPAdzBq0DEXbl/CkuXXET9PvkMkURKdLXELq7Aobk+93IM/dFfNLXMLJG8wO -5zO/A+ltQkfJhyV8+/RLxcO27xBd58wy83QJI2zS6SLXvsO1HFr1MaMllDyp -eIIkmA1k1RwGwytLeL5c6/oW1WyQ8OWpDzmxhNX8I3dO2maDqePOPdR9S9hw -feOmSXA22DC79bFsXUK1y0FnA4uyQeu6s8y1kUW8xpLCUtmbDewyqxy+lEWs -ilaizjHmQFIzvUN9wSIW0ev5CEnkwF62QJ1dMYsoeXru6B2tHLi3llRx03cR -Az71VLxyyYFl11lyiP0i6njPWORF5YDVc/6IId1F9NohyjVcnQOpi5LC8hcX -kZPvdcO+iRyomBawjxRbxB21O9+qcuZChuWCC8OeRTSg/37DRTYXbAzTlJ6s -LSAPxf1gimEubKFdrhvtX0C5kw4znT65YF5ZzmrZtIAlh9+Rd6XmQvRpAc7J -3AVMSKr/cYGaC2n8Jm2ukQs4S5SIf7SUCx/8fHQ4Xi9gnGV++NdDP+DiE7/Q -DNsFzIp/EEa+9ANIvxxCtXQWUOSJXPRW6x8gTgSdrYoLyNAqnXkq4AfoC4y3 -5oos4OXaOzWmeT/AcKsrhz3HAo6qfRkM6voBUob/WKRX53HOjJG1mj4Pes5d -rN3aP48mbJ8vLIrkgVGQi2Jr4zyeUbvhKKyeB1nWQc6ZufNoeVA0965THrSX -fnwcGDmPW93E1n2/5AEl0Pao2+t5JNhrqBWX50Fk/4nwx4/n0WgpMn5iOA/k -s1uare7OoxA7+3a+3fmQQ9Are6g0j7eKkx6pS+XDWl2FvZPYPOY/bWz11MuH -qTsjJ9/umUfpsr8nsz3zwWIsXi1hfQ5Jn8e8+hPzwej1clXT4Bx6bAzW7m3O -hz+i/WEE8hyqzLTQq8xt4lazxvMFc5vxyT397EABmLxx0/WKmUNB7zc6yQoF -YKkkoUzzm0MJwRv2v8wLYJbe8Z2E4xzq3djuyfy+ALY2aZwIMpjDiB1Z3nLZ -BRAQUXNi25U5XFS/8cKmowDiHWnv3U7O4YNjf6wiCYUgd9tJhZ5nDv/6GamR -hArBQC7z3qdtc+jj1npky/VCWBX1JIv9m0UgXJg+YV8IhwRGvpLaZ3E3a8h3 -48+FgIeGG16UzeL6tz6LT1gIg4fdtKRTNnGr4J6K/kIIOJEiuxw0i9wftHJm -mYqAeMn2ebXbLF5re6Z65GQR2BrV74+0mMXglA80Le0iiH1ZvMdDYxYXWUM1 -fF4Uwd105YcP5WbxyZbg6tzYIgjsMeM3PTqL2z1eSg7WFYH6Ad6T99lmMfP9 -/fdcU0XwSc860nZlBh1FZHoucxXD7Th1C5/+GYySKjr9VK4YMublBROaZ9Az -6FtgokkxZKmnGlLzZ3Df5e1bfr4pBp3cYH6m2Bk0v9r8bEdGMSQdXruv9n4G -zaLpNmRaiyE2vFv8y9MZ5L8W+8FytRgu8So8WzCewY8qmcfDBErgXRKviv71 -Gcz5T+RnvUoJuF54FtokPYMfZHZ/WLUpAa7fN22vCsygwHFjDfGgErjtHVdL -ZZ5Biyd8gvcKSwCkneLNF6bRlPXqxvueEiBPVzJs753G//1fI7DlfRzJbJhG -h3P9nZPiCJM+HeoPfkzjx0bNLn5NhKcGX8+LRE+jWZbk2C1nhCSFv8kLftM4 -PfyU4eVXBHfxmHCS0zSedhAXz6na3FbBbrYc42kUUVczHBhDOCYQujPu+jQ+ -JI43++4gwqII2efr2WmM8Bh5EstDBFs5b58EwU2+9UGBYgki+Ovk7ihgmUZ2 -dx9aiyIRbruZ7m5fmkKt4iPvJrSIUJXmH7qlfwpnBVeubrcgwu9B6UQp0hSO -fWdg43tOhHARfRmnwimUkKZrPetPhLZ3kVbl8VOY6vQtUD2aCAbHIvUOBEyh -8ZONa5Y5RJBa1mV2c51CTcmNdc8aItyla3WeeDCF7onJyWG/iNCktCvRQnMK -+35vuZk9QYQPhVsCJ2EKvUj0/xq2lEKk5fcLXqJTqOf+/VU/Zyms3RRI5Oea -wofju/avHy2FZBs1Uv3WKSzk4ozfe64UYsulf3hMTKL8RqWohFopjN3o0lPq -mERCrOC3y0al4MWhWLq7ahJntokfNnQoBVsWk77hzEk8dqQ3+OmrUoiWV6hq -+jKJQVuU6D6GlsLh5E4zfDOJcmE3LJJSS2FW9UxV4ZNJ5J3eXltKLAU2wat9 -5UaTKMNgLdBBLQW3k7ylbdcn0b/N5cn0QCmcfZamtyCzyTeTLtu5XAoKCyu5 -AkKTOJQZwSi4qwxCUhhIOuyTOFqQqXKOrwyenfxyQ2btHx71sPXUOFUG95wT -nre2/MMI+l85Vspl0CcpdtQ37R/euDTV66VTBvS3RW/cefUPZZW+7wi3LgPi -cOysrOE/PNniJJntVgYnPDrCzsj8QyZKkH5DQBk8ydxVq8z+D6tkdn3siysD -P+cLz21GJ/A+c1fdal4ZOHRa56RUTGDvFUamPQ1lIN4W4rAlYgIVp33Vxf+U -Qb5lWb610wS+3GYRcWm6DPb8N/xy4uYEJnhFTulvKwclPZZWH5EJ/GYtcdWR -uxwUCiRTz9BPYACR+9t70XJgz7y5c+X3ON5102VLuFAOP+Rtxlt/jCNd0oIr -qpeDsMWb27Ufx/GV3Phkm2k5uItEX6JYjeP0+fOWk07lEPwyr2Dq0jhCQt8w -o285eDg15BzlG8dHzwce8UeUgyxdl5TD8hi6FymsymSWQ83Rcbk22hjamc+/ -v1VRDkL9S3U308dQ2ZX+mFVbOWieo+/qfjOGK2tW1V4jm1iE2emV6Rh+GhZ7 -GL62yc9iC74IY8gkf3lfzu4KaKRwnuPeP4amWwrrGwUrQNFvj9nWuVH8Kv76 -5YBUBbzp4uCiI41iSUWa0saVCoitZVXb/20Ui6qO7+DWr4D313cwqfiMIq9+ -WpOkbQV8/sLo72s0iqaZ1HdXvCqAa3zPgb7zo/ihPELZOLgCtp0/ka7OPYrR -n1iXnJMqwPTt3au0mREM5heK+1RUASfbP/6zah5B+4e9qinNFWB/pC2M+9sI -nnK6OFLRWwFH7ERudviMYNuFa16/5yrgcokvc6bxCJpULO2ZZ6yEjh0rpPAL -I0jafj2ahacSujWffvmyfwSFdigfOypRCZqRdHbf54fxXk1fAihWguxwuFoX -ZRjtLwnza2tVQuTJi6d404fR0Z0j0PZBJVi5LB2y9x1Gg2cxhDculRBVXsT5 -23wYxSR/mkW9rwRVpvdseheH8U9sdlV+VCWY3nq4d5JvU77tDB8luxKmQ+4K -fl4bwulqPfuR6kqY6NI6e6djCDUchYlbf1WCzmEDLZEfQ/i5N4zhwEQlyFg4 -OrMHDmEFc7byqS1V4JsalsD6eAhbZh+7X+WsAtWppk5+tSGsC235bnK0CpxP -795/RXQIY9e7ul1kq4DXycDAm3EITfnebw+8XgWS+UUprf2DSDwldzzFsApc -LN8tnisfxGfWyroV9lVQtCwlk/11EF/XJb3v9KmCJYt8G3gxiBPXzWtmP2/K -53F+/q07iKnzLxh3pVaBUb9K3nuZQayqmFQ7QqwCvymthltcg3g6ryhMjloF -aZ3nWoTnBnCF1DuhNVAFzfHLFA7qAPKwmqjYLFXByI2Ayt2ZAxhoJ5fow1wN -a21bU/n8B9Bs5TFL5KFqYIRrb5QeDuD7JILLj5PVwO5tc/fZ1YH/l59WA1+y -BV+Z8AD2PhEzH9Kuhv1pcr8OMg4gR2DtAMF6k/9x5K3fQD9GtBGt97lVwxYN -WwmWyn50k2dfOhFQDWMzTTXRMf1Y2FTsqxpXDSR7hjuqnv2o5ll+2CSvGlIo -ezvojfrxrK5ghUt9NfjsJtyiQD8+1/ltEdhVDXfEy0qyePtxn+vUntSpahAQ -1ueLX+tD5iqDmkr6GhihIzumdPahzuljHl1cNZBcfLCssrAP16pV5RdEasDs -ttLW6dA+XHav3rb7Qg1wNylIn3Duw86Vi/XH1GugelnloadOH0q0WLxVMK0B -+6zXGX1n+3CWWUrprtMmf46wRZu7D4/FJM3Zva2BwrLMe50Lf5H8X0WU75ca -0D4QVvu47S+OjPhcjs2ogfH1IgXuH3/RLnxyoKi8BjwfclU3B/9F25Qt7i2t -NcBmnqwT4vgXO/cXsE8M18Dn0SdLj2//xYLBfZEMazVwaOVZvJ7UX2Tk4D9y -aHctRAflGmrv/Yu14c2x0oK1wFMoeuz+fC/OvTzCe1OqFiKtfq56tvZiaL3A -xwdXaoEtAbsyc3sxw7Z6zV2vFtwedjVOBffiGQcO08+PamG45EydolMvirUy -VGR61sLVsEpq7J1eDAmOOlgXVAvftr4f4Trbi3Y5f217E2uBfu49Szh3Lxaf -bCxeLqyFe3Y1cGKpB51Z7tFzNNdChrPsi7afPZisEnxRtLcWVnf1174v6MEr -PU9eKM3VgpJYjcCdsB7Ua1/N1GWsA5+Wv68ln/dgl7joH/sDdVC14+zqfv0e -JPcQGPyO18FGTcXzvRd6sIGbIharUAccE3NR/Id6UHdhWqdIsw66NaTpzhF6 -0N3V5B3NvA7uL7/+atzTjQrfBKvHnOvga8/Is5CybgxxP7V92/s6CNyh798Z -040v1wKuH4yqAwW7P93HvbuRUUAx9Ex2HcQesLPxN+tGwQn58evVdVC2bc/Z -9cvd2G7kr2zWUQdBkjVyLsLdeNhVPP7FeB3whfu6MTB1I4P8Aeb/CPXQ8KFk -GX7+Qbdk7afpHPVQaulj4x/+B18X9Y9UC9WDfJXN3RWDP3jCscykW6Ye9sY4 -lXgJ/kG7tonexWv1oLslKvTYYBcqd1s+YDOsB56ekYnh5C7M+iA1K2xfD8qK -WoXVNl2YN6Tuo+hTD52HuzdKT3bh7aEiXt3P9dD72reoff43+vk7Fdun1IOO -zZ0ppsLfqNPlYeyHm/pblCJ03X5jAe3XrjhKPXwuvllerfQbc+28sbi/Hu4d -fWF4k/E3Kue9cGpdrIdw9oYncw2daBtXfeYfUwMousnS/fjYiZKyhsvbDzXA -7Ye1jEG3O3FmmR35TjaAB0uR/ccDnXjIOtlV5lIDmAVqnUjr/oU574Skbmk3 -wOp6kMJo3CY2eDVkadUAGmqusSpWv5C/tyHQ60UD3PFkulsl+QsXORdkwz82 -AFuYvLHpfAeeW9z2Kzu2ATxDeIoFijqw33fJofFHAyQ6R1pu8ezA1WYy40Bd -A/gp1FoSVDrwecX74PXfDXBo/HPxIZYOfGAhycs11QC2rmwmBrSfWFySGylB -3wiPpyV0MfQnOlbwH1DhagSFa3NxYPQTo50ffzAUaYSMt0ZK3Ud/omxP3MZT -uUZoTXU4FTXRvmlPyYOPNxshM+e4o2dOO8ZhUX2SSSNcifZnevm8HZ2lI4+V -OTbCB/v/ZuKU2jHPwMyt400jhAorHx/a2Y6mZ9lJ0+GNoF4RVqhKaUOX0uj9 -TBmNUKwUEtb4uQ2Xl7kMBMsboTvhXJOtURv29T3+cq61EXDSW+O0cBued8to -0RhuhJu8TqLcU624XEvdbr26ub4k622e/FY8XPnr1EvWJgg5qkaV92hF5TNX -DcIFmqBZp27F+UorDnXF+mefaYKSg/uPEdlacUfZUEWDShNY2Mtp7+5owZSu -vRt9uk1AuXvazyq6BSmnJS6s2TTBFHW9nGzZgk8qTnju8WyCpq7wDcVTLRj2 -jrdePKgJjFxYAFdoqPBhjls5sQnSkjQ8lStoaNmQa3WvsAlyLaxr2/1oyK5o -XO7Y1ASehdqcjlo0lJ1aOOTf0wRrEdwmvLw07Pvp5JEw2wRnOVNyyANU/N/6 -dTPIH+Bg/pBOxZw7Uhrt+5th9Pt1M52nVPw75lA+Kd4MN7t0K8QVqBhQ+OXs -DoVmsA+VE9q1k4rE8owsfs1muD407btAoeDjbWknZM2boafaZW4sjIJR7kE5 -t5yb4ZRMu/G4KQU1z5hfsHrXDOfPM9IWxSn4WlCwwetrM6yTmK6wLpBR5mrt -vfDvzeC10FsqSSSjTYrOfHZVMxSkv7ug/4aMRy7TAhp/NsO3JSYMvEVG7QMy -pwfGmkG3RV+p7QAZdwu/7ljfaAaiolf94X4SvhQ6Vua7gwTmxxW+vEwj4dKJ -3dzcHCToeqm3vuZEwlGpVZtYHhJwKbdMfFAgoc6ZsSpJIRLst/tmI8dEQkXx -7kPFEiRoYStZYUhuxiTe9mdXZEhAt6f7bJVcM77dQdvMz0iA40WBeeQmHB6n -ShpfI8HucE3WrvtNWFbftpmvkWD1UEKi1HIjcsf8GXU2IIHds2zDsveNOGI/ -qrrdggTOic+lPQQbUerCSvInOxIIpW6I2ec14OoWVma+5yRwfyWnEHK9ARVQ -yCbFmwTy0lIOk731SOeoSD7rT4KwouFKl6f1qCBkfKYyhAQeXNpSsiz1uNzs -HaoeTYLFyx6VorF1KGGfSuj6RgImtfv2N2XrsIf1p7llDgkShenlE0m1yBLP -SJovIUFbh77oKfNazDtzXsarhgSxRnZnptZqsLPEPoaVQoL5YoV7vYE1+EIh -nSX812Y8/9XHMYjVYGjxhPOxfhJYLuxmvldejSdPnRzKniCBA233x6G71Xgl -+tlthUUScL6qPx0/XYXHOaMLGreQob+8es+7t1UoHfB6x10mMnwEv1ufBKrQ -hEdcaYCTDAzL3KN5BZX4Pcvf3I6XDGozD/+s3arEI1oZjutHyWAj6ithPFqB -JXT+tm9PkEE32vZXv1cFPisR1dp7jgz7tHn/vuapwDvePoejL5Ih81qg8tWc -cryrHdklrkYGNnfKNnG1cvSQdvTKv0MG5el2vuODZVgnwMRxyYgMmjEJ/6m5 -l+HZ/ffekSzJIPbpguX7fWVYefDRtK4DGTZKv4SMZ5Wii/gFxUFXMjyXqBSw -vlaKGlfqn9u9IkPdr2zGnQNEVHu8N3rtAxmWq6xVq92I+CjuUNbrUDKMTY4N -xuwjYlb/QApHLBkMv1uWgTnivpPWARGpZPiy1c/aMawE496mGwv/2PS3Wa5p -tLkYNf9lHMwmkqF3n/tgJH0xHjW0Lb9QR4aVnlt5ATJFePD3uEYtlbyZX+XK -V9gUorSZcLPGbzIIjya5n44tQIcVgTNdA2Q4Lnb86d+f+dgS3uH9YJIMAyOK -R8ms+Sgb7c82s0SG4edP++cu5aG7icexF3QUWJI22Kn6/AfGd6fX7dhFARme -haDGrFzM2HdgMWgvBcyE5V+7D+dgOEt5hgAfBb4ayPYY8+WgBSbMpgtTYKWk -/6vDnWzkFqstlztFgVuXFatz3n/Hb5pHeRvOUyBv8YamUFUW8sqU0ekqU0CO -yqJStZaJT35+dhi5QQFi64vooDOZ+O1Mmq2zDgVu0n01CXyYgRXXNxZ2mlBg -S1bfXu+r6VjE/25nuDUFNtJHT8kXpWJo5p1EcUcKOG5o8t4VT0HttXtkdKOA -aBRfKyUiGRfpY7xvvaHAr0A13eTdSfi8RrCyP4ACCR1dqd2eCfjnco//s3AK -UOzIjU/m4lDI61f/rngKtOsJFVo8iMUbzuzV0emb/oX8flL0KxrvivucOpu/ -GZ+jC2sPb0ShcriUaFMZBaxYB+JXfSKRs1owzbSBAnoO0WMdj8KxKvV60UoL -BV66CjY66nzG2zeztT79ocBRUQsVklIw1qfdcRYdpkD5c/t7g8c/oWGW8OWy -aQrQhds1nz/wAW9c5PmptUqBw/fFf0Szv8VwUwnJgW1U+OOG21SnvVCZw+Sa -PSsVbNik9/xJdsGrN3+Ir3FToWgrA9f1SRtMPyBG8xKgAkFvr72GiSo+elIK -28WocJpH42VyhhH46TvZvjpDBVcmAR/ueQfYRrtuRg9UoGqVpz3ycYfO9ov8 -L1SooJIn2qf53gdYrPWiZtWpECGm+rcwwA8i3gUOmOtS4bgO623KlY/wv/WD -TX2xmpGvVAKB5GJGvGhDhfVFh0bXS/+BkwpBK82JClO3bbuz5UPBOaYgjdOD -CrRi5XYx2S/w0y+o0ektFbL3Pu+Y5v4KwfQfM9s+UWGvhmLhv09RkLYz9e7p -L5vyHI4su1hiQDByuOZdPBWO6HDRVF/Fwnyp8urfdCpMCwgxJ23EgZB9xaxU -PhXOPI7OPeqUABlZxlk+ZVTIUfKjVo0nwmd3/jPUeiqMhv419jFJhq5OgitP -CxVCHRNNzdq/gXs14a1xFxW+tna0m11LBdNHo5YZg1TwF7nAf7I0DR7S+R5n -m6JC42TdDRmeDAjz+kN7uUyFzrVJYc6fGfDv35A+Ez0NLM+zRQr/lwkWKgm1 -sbto8ClFNP3Z7SzY+W4/tzoXDVTV5O/Sc32HxmLFK7v5afCM/8bX2vbvkN9x -SL9XhAaXhbQ9asOyofZvpkb1aRrE6Omu0RnmwHr7ohheoIFZhTbnsyO5oFMw -O1SrQoMO/ZtVx8Zy4deruFeDt2jw85giB0f2D3ihwLSDW58GhCPHV6Rd80B1 -8MhDXXPaZnw5X/x3OR/kns19z3xMg/ys2bATHAWgufDs9/7nNGhTatZk6C6A -AKPvw8E+NAhgiE3ck1YIcz+i2o983NS3bPefgWsRPF++mFwdRoNwvvP8fdeL -QUz4y73n8TRYc9q4FHOoBAgXk+eUMmkwTCje9mW6BJZUrR/xFtHgZqGDIbkK -gfvC33qmahoka3CI8qkRQf8gKxMzhQZ2xhYzDvlEqBr6J3boNw3+vTm/w/xI -Kdz+6n3i0hANLtb5Wc9/LAV5JetguRkaBGUouhetlkIeCX5Wr9Fgf51ywi2T -MihUISz/3d4CdXs/9+RVloHat9TFj2wtkBssI/FPsBysFy+3kPe3QNuVYx/m -XMthn2Szf4xgCxyWvE/fQC0HjVtKx7aLtUDKlUlfuyMVcMAgNmL1VAtYBNcK -DdtVwKPb09Ne51pAY+8UTaKoAtTPih/5otgCTrVmwcpbKqGUXuuMypUWIKWL -WIkrVkJJoYWg940WUK89r9H3ohKU9a3+aWi1AGHvFzWrH5XwfwBELdpc +1:eJw1eHc8ll/4/1MIkVEqUjJKRkqZKa6MSmZWEZUioyQhhUh2SSJ8SnZC9t6c +Bw+Px3yWPbI32Xt8/X7f3+/+475f79c1zrnPdd7Xua7D9+Sl7tP9GAxGfu/1 +f77//yFhNQgaM9uoI5vpwJurMrDfjmyjeWAHSTs9bio/rgqeH55fFOLbQU3P +P76kKdQFveXj7L3yOyh8h9lEnWgEdg3t7DYmO4hafOpud6op9NOkXmp9t4Pu +PT2YFZ9oDlHRwXacMTto/ENjqWuHFaT8DGiWqt5B3qzmzhaKL4Bh87u62MQO +4vGzcsyes4O8suKxHbZdtFXB6CNr4gBZ/TPxKXK7yDIzzFZ16DVsWUi5iVvs +IkND5ksDPm8hXPur09dvu4hQ/KJxv4oreETsfKmv2kWvOBz5Px9yB9soV+XQ ++V3kTrU5eMHaA5juffAnsWCwpVdbdK4QPoD8KMHVVQCDHYHHY2OCXqD+7r9N +vDQGe2CAv+GKpzfIcE3SF6pisFynObYvdPsAprwyVtUIgz2xIemGv+QHvy15 +at9ZYbDs9j7qNL7+IMSFeavnhMGu+dJZDbZ/BF+SRUGjJwZLks0nm58LANxX +3Q/jgRhspF9owCfHz9B3t7oj8T8M9qHDrxA9FAhUgeIy+lgM9uDWwBiiD4Lk +VQkxpiQMtpUtuWZM8CsYkiVEstMwWN4FJ4XKuq8wmluUu56FwY7GPXhoYx0M +upFVhOHcPTmvydkJhhD4HqBjY5+PwbbZ2H+TSQqB8g9Pf8bv4QP+scn3VL5B +hfvO3dd5GGzGq1Hz2/3fIMrzZMxkNgZLFlapY3YNBaNA5LA/A4O1yiomx3GE +wUL0GLUsGYN9R3fLmyE9DKwKQ6u44vfmKzzdc10lHEraaq9xRWCwh7j/9Kh1 +hcPklpty6VcM9nO3m5fgy/9gRbikbdcHg63DOs9403yHSTbFtB8uGOxhvjTp +49+/Q4N5h/PVlxjsoy2OR73nf0DEWQ8YMcNgC3TzLNorf8B9PdntcEMMlvXM +J026exFAN4vJvaOJwTrZhR+xnI6A+OVO08NKGGyfTGfR1oefIPKs+kDPXjz1 +XXTla49HQoJ6eUK6KAbbKLM/rjo9Epgi8HJ+vBisjt340JJyFJgaDNZZHcVg +OwToDtzvioIEt0Paugcx2Oe6hvSLdtGQdTYkwm9nFzH+3/jEwJywiJ/L+C5K +5o1PwkbHADvDkJkbeRcJmWr82jgRC8zYMvGvZbvIY+bJYIZFLIzrFM7kJO4i +kyIOdrecWIgtJ0eMfN1FJdjHF5/uxMJlGlZZIdddFH1ATd5SLQ4S+G1qXJ7u +ItpPTXJe4XGwwD2p1Ku9i+bUps8VD8YBzz//LO09fpjeTqRlvBgPgpGqLOQz +u+iOzybR3jUemAWETcxZ9/ixM/V5BR8PzV4iEQc2dlBV/hvZUI5fYFuhUVc0 +vIMUU6Ip6o9/wTQ5aNSlZQcZBG+KsWT8grhjxxQuluwgTl7h440bv2Cqbx9n +UcIO+nj3XJDPrQSoF9VzPBq0gxIkV8LkQhMAthn1bjnvoJclYaJT/QmgqieY +p2m2gwbH6O78J/YbhsWS/xPS3EH05TdpwOU3HAsM2m6X3kFtVwxvDNb+hh67 +nhFj3h2k91Du2IcjiSDb+eVOHuMOchWZec1tmgiCtYnyAwvbSCfazjw7LRFS +Zc6kD3Zv7+Uf3IDSeiLgxOhjinDbiN5tYqblRhLYJ2sfMUvfRv1jff6GIUmQ +m7TNOBi2jZ5tJOT09CXBJ1F2Lyn3bRSdd/W5iWgyLEl8cn9gsY08j/3KaX+T +DMtYqy0jrW3Extvtr4VLhgBy2paI9DbSIA3NYNn+QK7V3ffEU9tIUqR84MKD +P2Dv9dRbjW4bEUQszb//+QM4/i6mH9Nb6CBl4PX28h9IuZl3tIyyhTb4xY49 +VEqBs/8W43JLtlD4ids3Sr6kgPTJH1nv47ZQX7EUzeHuFOhp+qXI67+FKJhF +7afnUuEYLYdBmO0W0pGdxRU4pEJh9c+sOf0tJPMndJMBmwo/2Ge4VK5uIU+9 +0UVj5jQomKEPDuLbQpIyQ+mZhmnAbjh9lEK/hdT1A4Rpf6dByp2IZJbZTVSb +0mdhNJ8G7u3st5WomyhFrvdppnw6hE7rrr4o2UQLNH6CBz6lw1DQg9zg2E0U +d6An+UFbOthXXnJL991E+Uo90/n8GaDwoUW/0mYTXS7yW2B5mQG3WqTlmnQ3 +0THLvmKr0gwITLUUI8luoifa/UrV9JnAfuzJhSaeTcTyKjCQRz8TKCyC8pW0 +m0igceSHS2wmkMNyjdInN1Ck6YRl+3QmsKYe9A4mbiCF442uESezwF9LtOxF +wQbqP+GcQFDKguseR/crRW4gmdJNsXWrLJC6TjBg8dxAimt6nMJBWWDx5WYh +2XIDbZE+mBnlZ0Hbs0DBIM0NZKPqw/qpOwu8W6N+KUtsoEDjhydL92WDbfOb +C3OcG8ic6dCn6XPZ8J8BNz50Zx1N3Qs25NHKhu1n/i8uDa+jc4pTfnccsyGW +BfHVEtaRy6O5Ps+IbBgy+aFwN3Mdqao7ncnHZoOQ/TzPSOg6CqV1MxwbzYaX +Wvgqe5d1ZBRA68p1KAdK5k+I7D5aR9F/mT+rS+QAncm0/qcb6+jhvvAAN6Mc +MAi8qswhuo4i5mKcM9/nwG8fhuVItnWkky5yd+B3Dqyr6D07s7KG/GSk+Y40 +5sCdBu5fKd1rSDqoqktlIQeSOZ/EXKxcQ0/yWjydOHOBVkzwUW7iGjqY9IAr +WSEXTBmsBqU+r6HLljbRnea5gE05J1z4ag1RljbZmQJy4TTHUwmZe2toTpvZ +8Vp2Lviq8O4ruLaGPr2Nwr1oz4Xpq0YhEvxrKNo2kyZmOxf0N1jHMunXkPhl +pUtEgTzAvlfZFZlZRdeqDTT3qeWBeONKVwJ5FeH5Ju9dtsuD2L+CLqeKVlGj +5u4ds/A8YC+h9oRGrSKtW2GyoWV54GuMoWHyWkUaLGksNYN5sFP9Z/q91Sqq +jVemLDPkg/Mi8cei5ioqozXxFbyYD0sTrw9aSKwiccnlc/cM8sEx6btCO+cq +Cvk2gPNzzYc75q031XZWkJHPonVRXD4MGdZJVA2tIC9G0eMT+HwQ93lFB4QV +xMHr38g1mw/SE8RSbMYKYiQc/KTGUQDL3qN3b4WuoEe0udqucgXw3DCnjey8 +gk6SPU6lmRZApJnkVbNHK0j+suNij28BeCbaeK+prKCKM4GkQ+kFcJbPOCdE +ZAUl/WkoVKAUgBtlF3eJbQUtYS8mvlwvgK/l98tal5fR72fFkbGnC8Ho7/Pv +7t3LKCfR6ifpRiEMyEoYna9cRiKv5eP32xQCT33WTl/iMjrQJpMtEVIIR74N ++4d/Xka3CPfqzIsKAX1rXtW1X0ZTWlFjYX2FcKbRVp3DcBktWzCw4mmLQOVa +jVeX/DIyY/+hsCZSBNzDpNjfAstISkvbSVinCJKrQ+NfMy6jZ6dEC+6/KYL5 +rkMf1f4tof3vz+8ERBXBkrC8/pnWJYRx0NMqry6CtCx+WprSJfR4PSZxdqII +eF5WfB+NXUKChw/T87IVw+2nbIdbfJeQbvmflzrSxXD+G6ddmc0SKn7b1OZp +Ugx8wXQaWbpLSKZq6HKeZzH4rtJBmuwSIv6Y9hpJLoa7tVy3s3iW0IfdMcKx +lmL4tn39WRntElJdbKVVXS6Ga1Gu8cTJxb31KZB05i4Bnd+E+WniIhLw+WiU +olgCbUdFDdkLF9FFAW2HbssSqJmNaZWPWkQm2vSezF9KgF9c6Jm91yKKZszx +kc8rganO6qOZ1otoTUfb3barBASm7TsWtReRldDf5zGYUqi1kMq5Lr2Ihj4/ +1iIKlkL/baZfYScXke/7trP7NEvBJGwpZWH/IgKMwsIlh1JQvT1PuDexgNhY +v+c++VEKUU/3YWpaFtBO6rD1N1QKjyfPaMoV7OE2gaO4kVL41m6cXRi5gDi/ +GuQvMZWBuFjieXmvBaTR7qx29nIZXJ2iQfXWCyg87SvVwLAMcthfW5neWUBr +rBF6vu5lEB67LrQjvYBe7wvHFySUweh/wZiEUwuI/oO3+Fh9GSSsXvunQ7uA +sr88/XJ8vgyIaHOJfmoeOYlcGbh1vBws5prYa0nzKE66TPKtfDkkL73kDiya +R55hqaHJZuXQIhM99iBmHnHdot/X+bEceosNnWR955GleoszY1Y5kFxi8Cdf +zCOLeJrdK23lkO5i331Qfx7xaSR8fbZVDi+KSdn7r86jYNXsCz/5K4BDplyN +ln8e5f8n0tmgWgHxi5KJrIzz6OsVtq9bthVw7J8s7szcHOK/8ERPLKwC7IQJ +Ccrtc8j6Na/Aw9IKyIkfuWVTMYfMWdV3vwxUQNf9L+nRv+fQ/9bXCOZ0qlu7 +Ps8hx6sjPXNiCIb8vLG8jnMouEm/j08fAXaL9MLOeA5Z5IhP67og8MhK7SUo +zaGFibcHvGMRCP5iZxMTmUOSjmJi+bUI8qk0dBHsc0hER8t0dBqB7idaZ76F +f+gFdqYlgBELDBNcL/gr/6HoD5OvE05iIaDm1qj0139IxOYUf/lFLHSc/jL8 +8NE/dNjDl9qqhIWF1WnLiAv/kEH52cBZAyx0a5rbTWzPoiWBTXV6aywE8S1t +qzfNouncA+y877DA7hDJVhU5iy7K0LTJBmEhV1vYXMNmFqW/SQ3ViccCHmeR +N3V1Fj15vavxLB8LOmT9fbFMs0hffHfHsw4L6m8X1ay7Z5BHckrKz24slBUo +Bd9KnUHDvfvu5M1iITpQiSrnOoO8iLT/GvdVwuLWwhFl9Rlk4pHrN8JRCWW0 ++ndMuWfQi5lDJ3bOVcJmwtOPIVPTqPQ4R+Kxq5WQ0nsOdZZOo+u7NaIXtSqh +MSNiXurzNMIkCKTeelwJ5pwVvEkm02iRTuyMqWMlvD8RoiEmNo2Ezg6Gv/Xb +85d37HXd9hQK26dMExxRCdNj6hFvm6eQ/E9t6z/plaCde6lMPmYK8SzQEyqx +lSB4vK7ruN0UunLAhr+LUgn2R1hXDihOoaB219cLo5UglnyAhenwnr6FTNXB +jUp42JrBf2ZoEo1nRzMIHKoC2p/0krp5k2iqJFv1Km8VnFhnUwrzmUTnPth5 +6klUQeK/eo2Zu5MomrY7//nNPewupX9faBJp35gf9DKqgmOJdwx71ieQnHIu +Y6RNFWw9PWnk0DCBLre+Ec97XwWn1NblT0dNICZy2IPGkCpQ7bs1+9d2AtVe +ORQ8/LsK3vEzPMu/PoGeMvfVbxVVQeExueyYwxNo8DYD09HGKtgs6a2OHh5H +SgsBOmJ/q0CddTEup2AcedNZR99YqILoIy7q3f7jKMkrZv4BXTVs4+2xnMbj +KNXmoroTZzWYnu/FPBcbRyFYztQvotXQcr2Ymbw7hu6/N2ZPUqgGVTr6YU3y +GKL5s+qGdKqhxrnWty9hDPnJz8y1m+/ZR68ueb0ZQwvXrj2be1MN/+wjLiio +jSFIGp5gCKiGT6sZEodOjaGX70Zf8kVXg9B5Kdr5f6PIo0xx60p2NZDZxGIn +qkaRveXKF11cNfj8DqdfDRtFN91ohZ63V8O1yVdyXNajaHP7Od5rshowfytk +71wbRd8mzr+I3K4Ggpfb/kjWUcR0/RZXPhsOYjvS/9saHEHm+0obmgRw4Nqr +vfaqYATFivl7j0rj4GGI2ZmtjyOoApehvHsbBxqr49w/H4ygstoLjJwPcHCd +ub9P69II4nmQ0Sxuh4P6ipW1Y3R7/rIpgbe9cPBuq2t5oWMYfa2OvvkkHAc6 +NZ9bB9OGUfw31nWXPzi4zcX8fdRjGIXzCf7+VoaDp2uG8jv6w8jhxaBaWgsO +Ep841AgLDyOJNyqTuEEcMBsai1tvD6F2BQ2v3mUc/NfB5l5GGkJmuPWjKww1 +cGP4W4ZA4hAi0mvGs5ysgROuw5XRLkNIkPGm0LmLNcAWtb9ITHsIPawbTgKl +GjivNvuVKDCEHG4I8xka1MB7zyQNv7VB5ORxJNTOqgZGb1yY0mkaRI+cf2E+ +utaAbainjXj8IDov3mkR96UGuGwTiPxvBtHfhLza4rgamCR/Oy6ssWffLsVL +zquBoao7isp8g2gBb+Iwia+BbfluzVcrA0jPSRi7v7sG5FQk5HMbBtCPwZ8H +uGdrILbVkJUxbgDhmPNuSuyrBdEFdZy90wBqXXrloc5RC20/mE3m1AdQfURr +rtm5WkhsjGj7wDeAEnb6+l3laiH604rEudV+ZM77hT5UsxYKKKcd+xv7EVZC +/kKaaS3oX9MqTovvR842N41xDrXQaFh8NuBtP/Kv//Olx7cW+IUfF7tq9aNZ +Tcu6pR+1cD/9hqP7mX6UvuLOcCi9Fjrrm9IEl/+iWtyc1llsLZTHf0SOcX+R +ZFHZT3nKnv5gBe+Y5l+0SRycNRjdk398QXTb6EMnWc1Ubddroet7WLNsUh8K +tZdP9mXGQxarDDenfh+y2HzFEnMaD9dndQq49/WhL38wroWX8RAqORqjnNH7 +//pTPKDBxfYg4140+Pq85bghHnzWXB5iGHvRkVDCKMYGDyxOrhJhBT0ouh1r +w/UeD1rGy3e1zHvQ++uH1y+F4OFO8nid6OEeVNpcHqD2Gw8MDww+imG7kZZn +9RmzIjy4Ol/9rmfbjWSNBXCuDXjI245YjjrZjd4Z9VqH9uEhfsIhjrmhC3G5 +zR9Nn8eDmnztzxjnLsRc+6iuhrYOitaCh+8KdSEjSaEPfcfroIu73fVyeyfa +xqtdXxWpg6rEsMeXfTvRhgeejk2hDkx+NIXdlepEPZsqDUI6dTDkf1khargD +XWy1/qRoXgdfDr71oA3tQEvM0sr339SBGk+0yWflDiT068+y/ac6EMElkCUX +2xHpP1xcQFQd8G4FDG7Ht6PJSd9bCVl1IFar93lMtx3ZR86NllXXwQP+rZb5 +/e3ILm2fR2tbHSSw+aSfzG1DPSdKDs9O1AFt8OwZK7M2VDLGFXNguw58fslK +U4+0IYYjfGdPsxGAX9VswBTXigiRLQkyAgQgu9sKsrxuRcveZ3nuSBMAe+s+ +Xc/ZVhTRwB9sdZsASfGC7vVtVJRlh9/2MCFAahDla6cfFUk5HjH/8ZIAzSyP +5RmvUNH5tgO4bE8C0PG2eBlNUtD38LhT9WEEuF99yrz+JwXZ5w/ZDSYToG5N +o89Yk4LKLzeVb5QSwBBrsnBol4xcWB7SHmkhAM0Jzdj+LDJKUQ1XER0kQDUd +zzjlCRndHnjtrrxMgGivFsIYBxmZdGxlGzPUQ+i3xzdO4kmoT0z0rwN3PaRK +Ue+/ciYh0gDmwOcL9dBmfe7gmCgJNXKSzyco1sOZkcrTrn1EZLy6YFSmXw8x +JgetRIOJyMPNLJBqWQ/XiZihVWUiUkwVwE+71AODhxpf5UwL+u4hQU/3pR76 +7v0bqNdrQd7bIZqn4uqBTWiO/lBJM2LgV4qQyqsHjzmNb368zUhg9vqMJr4e +7mTTecv5NaGOx0E3Lbrq4fGzE11nZxvRGTexRPeZesByB4TdNmhEB65zM/+H +aQDXmnsFv8sa0PsUw7eZRxrAydLjmtyZBuRfNjKJF2wAzH46IfrP9eiSU5VZ +/5UG+BE68pZ5iYDs22cH1zQawPM0/0U1EwK62f/Mit20ASjxJWpYXB3K+Sq9 +JOzQAE95MhtsxepQ0biOr5JvA8iF7GYbhOPR3fEyHuMfDfBwJ3XbcbcWfQ56 +U+6Q1gDEJ3kZjVa1yKjvw5PPqAFCEGeNCbkGlVC7D/0mN0AGR+f1s9dqUIG9 +DyofaQCWJ8t8gok4dLPI/U3bWgPUJb2wesSGQ3a/8VL/mBoBN6LIQXKpRuJy +phv0pxuB5aT9WdeRKrS4cRjxXm6EH5zF343uVKHTNiluV240wuM/pGdWpZUo +P1BQWtewEbSbS38mCe7hR37jz543go/fG9HjIVjEN9gY6uXeCEl/GCVtNxFa +41iViwzewzb4ZhZKBbq6Rtedl9AIDIZC59hSy9FIwLpjU2Ej1D8/L2/vVYa2 +WkgMo/V78mQKm5RJKXqH+xK+09sIX5h54g2lSpCVtTjP8flG+PqDab6HpRiV +VxTEXKRtgm6tyO3K8ULkhOPjVj3eBBgxIp6+ugDFu7z6airSBKwSSdoZUflI +buD37lv5JvB4zBeW/zZvbz4VVsF3mkCvSOH7Kf1c9BuVNfwxa4JomV3D0Ys5 +yEUmRqjKqQkk/z5pZ2XORkWPLN53fWyCkocUQUlSJjKXPUxciGwCW+1zJw4G +pCPXyvgTTFlNMPq10j/uRira2Dj+SKC6CfgF4u03MH/Q8PCrqKttTaB7gNDO +UZ6Irr3PatWbaIJjctJFc84JaINAobfZaoLGiokjX2Ti0Zmabglv1ma4IIRv +7ZyKQTel1B9F8jeDNMv+/9asf6LxvoSgPKlm+E5Q8L87EY4Yq8ZxjarNcO++ +259F6xCU1ndsd9i4GX58cF2OaQ9AZMmLCtu2zeC5trva1uuJXuMueR71bAYk +xZbP2eSAfgbyNIiFNYPp6Lt4GlkFpPh1mfNmcjN4KBd7cO+zg2eNBc8fljbD +7nuC/IM5Dzis9KTaqbkZjuRcNs7o/Qhy86ungwaawf6Uxufr5sEw3PnmQ9JS +M/Azb5c86wiD/72/boF9Z9KKDdQjIP+etF7HiRa4b2Xkv688GoamHavnxFog +9bnnkcLwOAgpjZJlVGwBLdn2es3mX4Ctzsrh028B+xOikyp0ifCKLuOSnGUL +jLO7v4q8mgxxHmH5ui4t4MlDNNV/lQL6UpYKzwNbIOo6X5FNYhr4Cwg0esW2 +APPbV29GujLgijrhYWRuC8g8MdV+5JcFtmlGK3m1LXD9G/3xrdhsOHuLGtLU +2QKLWhmHb5fkgCH3FcnR6T39MH2lm5RcYBP279rZbQE5i43fi1N54C0oVBXA +SARLnuQzhrQFsH6JjZPzCBF4Tlj8djlZCFPSW7YJJ4kQuHewGUsWgZHUdK24 +IBFieg6nr6sXg5JY/+nyi0S49muf5F2zEvjD0+F8+woRQtMx5XYupfCJkbrX +nxEhYJNV9XZwGUzMUMSfaBDhXODF1r9J5VDV0L7XrxFB+8kjc8mKCuD89XfK +5RERxF1jlm9SEUw6TKnRWxOhc+oNjuk1FqQVNlO+2ROh9aoQK2UXC1v7WJl5 +3xHBI73/vvOnSlBEgrZpPkSQVIxL2uGoAhonJZJsEBGyVqyXn8RUgaLgE6ma +70RgaJZTSRSuho0Wnwid+L3x8EdC63Kr4aJDOqYvlQinB5eGCfI4GGDttHyW +T4RJnj7pFDwOWBIZiCsVRPB1a/lorVMDRVLXrnjVEWFiu66Xfq+u66lw+MVK +JoJgbP1lH/NacFfMZInsJoKsOdV/YKYWIspnXYRGiLBfbfTvyTd4uCxxeTxv +lgh5OhhZGUwd3I53vqu4tqfvyh8s/rEOLnDElzTtI0HAz5Rt5sMEkAnxZ7zP +RIJffitBxAgCmJ0UUx7lIME3OKDqKlAPuTlBlvY8JNCr7jx7KK0ezhpkOe2c +IwH18CthX8kGqKAJsvt0iQSXLzTqjpY1gHOFqMGxqyQwOToVJ7aXN+/5+J6J +VyGBWl3TMZOmRrhvGNMnpkUCtluO2a8MmuCDjJNX8T0S/Anue2Xb2wT1/ExH +bjwmwf5kZkPdp80ge+JhIPEZCaQ/7ZidmmmGmlMvF4wdSYCRzQtrcWwBVzEF +pTE3EpCyxWastlpA73bDO3s/EhS5SuUvKRJB69Wx+O2vJDCjLfBN3ovjy9+n +c/wj9vw7cE5pE4iQMzKadiSBBH54k8FJZhJwXbYJiU4nwb99XjbOd0jw+1Pm +E+FCEijxB3zc/EYC/X9Zp/KwJHAXen3lVTsJzpnaVSvUkyCWQ/Fd1wkynOqd +0SNQ9uxGZ3VkHpJBxkK4Ra+XBOHR7iV+cWRw3OSX6hslge21ufyGYTK0Rnb5 +WM2RQBirrEIjRAG5+CD2xXUSZJp+PHfhOQU8zD4IudOQoVufxKeRQYHE/sx6 +xkNkiIvklngwT4EsLu61sGNk4JK1Mn4sSYVIluosfl4ySJ0rjDB8QwVrlLSU +KUyGBWuGeaUSKnCeJ1TLS5DBGGPykHebCqn653gar5HBejJ7cA5agedKFY3x +TTJwCh10z/NshdedPxwntclgX2wu/rymda+uybBzMSKDXXTlOgdDG+A0d1cP +mpGBrZ23K1utDcr4Ag9G2pDhupknSTGwDSKy7yWLOZFBXHn0L66lDQy3H5LQ +ezJgnTTorh5uhzXaXz66H8mwtZ2nmKDfDu/qBGpGQsjQ23E6DPNfO/y9NRDk +HEmG+/SBu9qd7SDo1T1yKJEMvh+3PEK4O0Db5TA+PnNP/siWq+5BB9wX85WQ +LSYD2X+wbi6mA25GSos2V5Fhis4oiHmwAzjwAhnmjWTIaCPZcJ/phNp0zbLN +1r3/3dR4fMqiE+7eyTP49pcMfA71NoeTO6Eh456L6MRenBTUgjYmOsE0R/hW +1QIZmMOidamiXaCtcrLTYIsMEWk/rke/6IJI84vio3QU8Pe5amSY2QU3j5hp +OLBSgHLaO3L/fBeo3ykU2+akwAtXh4NRl7shk/s81YufAo7fGX+dc+yGl68r +gf48BchONy3i87vh84M3dn5SFPhyTET/0Go30FE1LWiBAvFv8mysZHugp0OF +z12VApwRI5m5zj3AYmMSt6RDgXG38lP/SnogOjB01NKYAuwC1wpObPXA/94f +7O2rj4+dpeV7gehqgVWxpYBFtpilknsvvFHFGGS8oUBKROx7BdQLLr9KMjg+ +UEDtRkmVCKYPOj+HNb35RIHr2S7i9Ip9EE4bnN3+jQLf+wbqKB/6IONg+n3J +KAqoN/0L+FrVBwIxE3WBiRS465bgLE/zF1Yqb24NZVIAN7sb3KX8FwQdcEvS +xRT4zLe/1dL7L2TlPMnxraJA8tFUpRHcX/jhwSdFaaBA+FO3F3/290NfD8bt +ZCsF6OLWtDr4+8EDj/n0pI8Cp+XxN3aV+sH85dSzrDEK+KXfLZY364cXNAEX +2Pd40vGUgz3Xqx9+ev2lem9QgM0Co/kooR/+/Rt/wERLhQsZJ14o1fSDtWoS +IeEQFWQUzF6ZjPbDwcATnDrHqXDuWJdROv0ANJUr3Wbjo8KW1HtBKeEBKO46 +/WBQhArlUbrti2oDQBjK1sPv8dBCU99mwmYAdjrWziMFKsypeI8cDRoAo5Kl +cYLqHi+9B5Wcsweg2++335guFQhML72PUAf28jsTI+cDKmC6hVOGVwZAbezs +C2NLKogvHM6b5hoEeefl3OxXVLitIxIvKj8I+qvOvSfeUeHm2kvHONNBCHmc +OxHuSwWxsSERLe9BWC6M6zgbTIWdU741l5IH4d2GSgr+JxVyI++qaDQOwnnh +qIfvEqmgb2aQFDU3CBiVlGXlbCp0v/KcPXt0CNbVbF7ylFFBta6Xa+TKEHAq +DDUw4akQa2Ep3P1wCB6cYmViJlOhX+P0KQbvIagd/3f+dC8V9rvSLT//MwR3 +Y30u3RinwuF5ruwDLUNwXdkmXH6RCrx1u9I0S0NQtHcU4/fy0HWu+zafjw9D +qSpmY4i+FeyHhXTirwyDVmr6WjB7K5QI24yo3B8Gm7VbraQTrXB0QkDY0XkY +uMRbgn4JtIIn/52T4v8Ng56ushD9+VagbZureJs7DNyPEqK3JFrhJ80Bdq3m +YXh5d2HB62orKKcGHc4aGwYdWbGzUUqtsNsYWB29OwyVtAZSqrdbocUKc+bE +sRGoKLUW8NFuhUz3MQl+0RG4+eD5Pz2DVohlUV4sUBiB/wF3955y "]]}, { Hue[0.1421359549995791, 0.6, 0.6], Directive[ @@ -368218,203 +368220,203 @@ WIkrVkJJoYWg940WUK89r9H3ohKU9a3+aWi1AGHvFzWrH5XwfwBELdpc AbsoluteThickness[1.6], Thickness[0.01]], LineBox[CompressedData[" -1:eJw1eHc81l/4N9l7b+6M0pA0EIqrJEmlrOJLCKWhzMhIKCOSEUrZmWWvZH0u -3Pa6bzeKpNKgJdnbz/M8v+f8cc7r/TrXdZ33+zrndV7nOjK2TkaXNtHR0Wlu -dP9n/P+trXF1/u11Er4r5mD2PHgAGjj8D2U5kVDV42JXrYgeLPptyqtxI2HX -9QdODK+NwE0qSFfiNgnj1zgtT1LMQXGMhbPBl4R9b6TOvX9lA7uGw1aKAkh4 -/hJ7UXqWPVxb4RIdDybheEBntc+7K/DzeLSVw0MS3uex97p85AYU1AlSdseQ -kBRyxb140hmKbJ46aj0l4UodW5CapRvMKUoqxyeT0KEw7qbel1vgJ58qr5pJ -QjMzzr2fg27Dcf0tOqQ8Era9udG5SccHzibkPDQoJaGLoLvsQy4/MLwdLnWw -ioR+fY7su6/6A/0pBUEzJGH1wR5D9bYAuCAY6pzZRMJvcHFsTP4eXKam7ZNr -JyHzZ9kO9cD7IHXv9n/ULhKKbRZc3f0+CPx3cI4XUkgovqR8p2VvCMSQLd/X -9ZKQzzXoJENwKJw1uaa8RiPhQjDTldG3D6DynfL0jT4SUtXKe+23hQPVsEaE -ewMnhsSGh7k/hCcEXfrIhr+V24sYYyICWGU3xXzciM++8nmMYImEHV44xttN -wn7enKYx+SiYIR984brBT3rKQ6u+NQpuMrmTGZtJ+D3tgpXj1WiI07h6smVD -n7S05dYfrDHgYC+hVrWhf8DR9fGB7BgYDwwL+7CRH+bQ1JzzOo9BOL5Ce+9G -/gpcvtuf+PQYJpNSbMpfkLB3h04rp08s3H527OvVZyS8UvSmN00wDgrCc7oM -okjoy3T8Pmt+HES6tEraB23w3fF7+LBOPEifyqTmepGQSyJ3WH8oHiwk4Pfm -GyR8+P7OPXmnJ3DsY5xjszUJW9Hrz32Gp7C3eacksxEJ+WXyVEWePoVba37k -4zoktF4RtP6wKwF4Q2MuhauSsMKo7PLb+gRgsru0TtlOQp4tYaeZzj+D05Hz -0aISJPRwjhdw+P0M/nKekLLlIuHIgcHKlYDnMPbePC1/XQpNvI00m0US4eDK -DtLyPynsPLAprTE/EUZvvHms/1UKDZ3Hv8wcTYKve1g3JQ5I4Ts5Jub/hpJA -45jw1b+tUnjdyIxl2jkZfr380qpTLYVs/3d/UuDfRWeZxHwpzJFOz8bkFDhz -rcZ1NkUKt9ucerEkngpM5JaaszFS6P/HdrTgcioIOsWs59+XQstKQb47Jang -dV3yIJenFFbhRaVLa6mg8uaS082rUpjMrK/poJ8G+mY3E6kWUsgY1qVxLz4N -KvRUG1QNpHBS//e2N6Np4B1W+ynpsBTanMhiZFNKh1gpxgXm/VJ4NmiZ4uqT -Dqyb2Fldt0ph29qvh3Mt6dB7oIf3o4gUNpR7qsUKvoDpOmN+A3YpPPIymXby -4gu4+eQJJ7EiiabRy4rcBS9AONsyfedfSRSV3iHSufQCyDR7jwefJfHBuW2R -QcczwFeqKPQ9TRIzlOfiNGIzQO2+1qB0syQ6VcUp/PqUAWssvFfMKyVxdIzp -7BPFTGjJ2aIS9FISWWp1GcA7ExIu+x7OSJTEAXWzY6PNmeByRCSs4pEkGltp -CAcIZIGR+ixfjb8k+uz8c0vCJgtUDITflblKomGys31xXhbI+XsPp9pLbtw/ -5M/ai1kg0iOz2f/cRvw7P/70HMsG3oOcqUZ6kvhpbCTULGYDk9WtRTUk8dpS -RsnwSDaIXntpSVWQxOSyg9ctFXJAXtHq6R0pSQwUflHy1jMHDnGZ8kvxSCKv -9PtQA3IOmLFHthfSSeIp6pc/yJsLPls461WmJFB5Z+3n3RdyIdOic7bgiwS2 -7XSwf5qbC32FPVck+iWQnfb51upsLnDKCIv7NEvgkqyisJX2S9AvTGHrfi2B -8eInjlU9egmP/nM8IJgrgSNvVBj437+EIVnfF6efSSCNbvrMpW2vYBdrz2nv -cAk0VJsgV7i9gohljrwUXwk8kBu7zIqvgGFicH/jDQkMNP4+bcGZB5Ef1b59 -tdrgd+BLfqFZHuylbEfGsxJ40iR8B2NmHowRuWTZIxLY/HLksvm/PCgpqJ7S -2ieBLzU+XCrUzIe4RCt9czkJnGIIkWcOy4eIBzEdLoISmMY8nHNhIB8Sbp33 -CGWSwHLt4d/lsgVQY5OvnzQnjvsqQ6a4nQpgVj9Wr2hMHIUdRt5cqS6AI8qc -zvXvxNH2zCftRpZCyJQUqqe0iSO3S0QEyaQQpBgLD45UiaNc57cE79RCyPs5 -+PHHK3FMtPnh8PZ3IRhS4vKnE8VRS6TT55lkEbCVj2YsR4jjJ3GvjDbtInj3 -FJvo74rjgeplxcUrRVDno8TL7CyORxaMRXdEFkHlBaX7rBfFcYUaYGdeXgSt -WijDZiSOjnpBPGHvi2CCNDrOclQcIyysJKvpi2H7Wuwgo7I42nNwhf3eVgze -w++m17eI46/z0WYkg2L49Cb/wKKQOG478ivkrHsxWMYLZE4yi6O39eRI4LNi -yGF6cGFsXgz1TnpsKcdiCHj+MHBkXAxjGe+YjX0vhp/nSYIDg2JoHs7oI8ZV -AiMaWvzd7WKY/JHz4cn9JWCl89u3uVoMrejjw++Yl8AN9+0mRJ4YPptM8Sq8 -WwIsHVNPKpPE0DB/57nPmSWwW++kXskjMQw5oCoj0FkC33/tvZR3VwxVIxuG -dKZKQL4iayLLWQxty3oCPURLYT4r92vaRTFkz74glqNVCsZ1GseTjMRwn4Nj -8qB9KRxaMRdOOCqGtJllPo7wUii2YTGKUxbDyTOc7oeKS6Hil/ZS9FYxDLud -RL7xthR0kzh5IoU3+N8sZEhZLQW7W/ZRD1nEcM8+7b0UuTLgctf3C1sQxUON -pqfp9cvgWELdu9Afotgi8/P8PucyYP+GKSFDoth5ev2sXXwZ/HfekBbcIYoG -x+PUYmvKQH3SyS24RhRPcedxN42WQUahpH9wvig2px+lzbKWQ3Lc+cXgZFGs -YbQMllcqB9l06Y8hkaK4R3l223nTclDt99z7wF8UYx5/Jof4lMPVn8f7w11E -0Txo+mplWjmYJPkURtmK4j02BZEfLeUw/YcfnxiLoqB0aKfYRDnoD3OxpOmI -Ilsbe5i+YAWYXXG8n6ciitaMpWd8NCpAIma3WpW8KEr2+kvl2VRAnLmJbLuI -KGruc58eDq6AxroP2sOsoli3JYLKlV8BL+vbEyYXRTA7t+O1Fq0CjtlKbGP5 -JYIzqJTltFgBT1N7fm4eFsHMa28SUze/hlTfsa8aXSJYknXlOfXYa7CZsxUy -qxPBnbc00zc5voYBLm1fz0IRZB44ULw/5jXQN94XS0gVweNt51vtK1/DqJDC -n5poEfxlkDQWN/IavJlV5kcDRXD2MitPC2MlNMemH+BwF0E7vgSthZ2V0I5u -BSqXRFDF4IzHDsNKuBeWY2F7TgSvSSlU/OdZCTP/DkP0cRHcdHfXWnhSJUjO -HzJvUBNBOjdjg9rGSlhISMyd3SGCFxdTsiZ+VEL4kPUeBQkRlOfnZ5HmfQN9 -lcF/bDlF0Kg218lQdQMr831OXBXGN7e7BgIt38DEmoDX4IQwHmj4sq8s8A2E -tLyQE/skjJSE3/e+5byB1Ef4yYIqjAHrY23CPW9AzeRaUVqDMOpN9zPqzb6B -M6JpMT9LhTfyU6HsJVEF34bsQ1QzhVEu6IH5yyNVsPy8/FFQvDAqyZ1xe+9Q -BVEWj3Pehgij5RmWQM5HVZAptta3y0sYk9lKgjTLqkB1YFYg6JowLhie8bs5 -VAX60d6XPlkI45XtH6+n0FXDB/2HbVqnhfHLw4sGFPlqmNmkcDhVSxiD7w5s -pT9dDfffnGtj3COMQKc1tdetGuJu8lxylBFGXp6npbYJ1SArayrwll8Y1159 -vfqYqIa9fdv6dBg38ICcEPlbNeD9kOzyWSEUjTItn+Goga797hE7xoTw1Fsv -/a37asD885+g1HdCGJ8X1WdqVgP2ETNR4u1CuMDzzDjYrwYmDoQWPKkWwlv0 -8S0VGTWw9ClnRCRfCFkC7u8Za6+BwNBzMs+ThbD40aVHIv9q4OHuaA+ZKCH0 -2Kn++bhILQjQzEZeBghhmmqN8m3NWlgRDAs94CaEgXGvYnPsamHLY/rMFnsh -FDvOQj/4oBbCTxP7Lc4JocPJHi+2olrYp9OoPHVcCC+nM6yrD9SCpCdXzkN1 -IZQ5lRF1baUWjn5PitipIITResW7n8vWwato928dkkJY/mTnYIdeHRh7RRc6 -cwthlDpv1MrNOjiYNPNLlE4IZXfbGivG1YH9atIz8j9BvHpLWs6qug66EyKq -3b4Ioj3PyfVHn+vAw7XRQL5f8H/f1wRcCgGT4WZBdD/4bXhSkYCH7+g74yoF -MbrLZETGhIC5S+wVRi8F8XLJnt9G3gSkKpnzCyQK4tSP28z3UwkIVZn4NBAh -iMruiorlzQSUeLbJJN8VxJ2GBjbffxMgOfez74qLIN7APz3hbAjNRUZLqnaC -mBzw81aGJEJRNl0Mq+mGvaOUbK0SwtDQzPMPuoLI7x/c16+NcFRfSbRCTRBN -a7dGTJgiTMwWMsfsFMQZueWTLFcR3n3wcHCRFMTfpcx80r4Imzbd1zDhFkSl -AwwDapEId9Nn4jToBDHf81WsYTrCpfVvkVumBND21vqpa+UIxaJm2/m/CqDJ -nvW1wFYEuwl9a4YBAfTPefny+fsNf/865YUWAfz6gf5s2cTGse0pyZl8I4D3 -KIx/O+nrYWxwW/XvVwJo6V8a8k2wHtTSpBx/JwngjT9c4mvb6mFiS2z130gB -rBYRzBI+WA+cl8Jz5wIE8PB6k4KSQT1EXWRQpXcXQLoMuVfHL9aDr/iKDc9l -AZxmUtxi414PfZG3dsqYCeD2raPxt0Pq4VG9U4yqvgDG0R9liH5WDwWFP5+e -OSSAms/PXM3NrwfV/75rOu4WQNIUS1s91sPWetuAh9ICqM7sKDtEqwefr9Z2 -RfwCGPnW59bU9w2+5A9f3jJu2F8+0MC+VA+WNsObGOb5cbw4mVWOqwHGKy1w -7w9+/FVVrHdQugGGuiwk7d/z47YA50Dj/Q2wP2VY+FkXPyYzvi+/rtsAs7tG -CmkEP5459m/0nnnDxvm2GeMt4UeNo6VsiY4N8OqOXa1hBj/u6/fcU3a3AV7I -lCjEx/MjR2/chc6YBmDTEdk1EsqPzepc0V8zG2Dka0zdDh9+vMQ50r5S2QAy -jFu/3L7Bj6MnWDmEOhuAktaV0GHNj9pT4YaKHxvgb+3DURkjfrzPdDX52FQD -eJnZ1vro8GP2vZR/F5gawc3FYOegKj++clQ66SHaCIOshjs0dvBjDIq+eqTQ -CAWka1XJEvz4310LvmytRvhXkvCBmZsfGXLn7xCGjZDa9DHGlY4fQzT/TL61 -bwTyuUNDn6f4cOrQoWuTno1w5nJhqck3PoTsrz9YwxvhxIza5o63fOjk+91J -JrkRiugGJY+186F/zZEV9eJGuB8emddQw4euDnOPjMiN0BJr2X20kA917zBu -v/62ETwlDwe2pvHh8ur1lns/GyFOVqP1bCwfPv6x60biaiNIZ+unDgfzIcfh -42LlvGSQeOnC7ujFh/b01R1dcmQIVChkXr/Oh6mKofe/q5LhnAJDXJwVH9aR -C46unyDDs9wb5UqGfFjTvJtN9AIZ9LMmHLqO8iHpQkH3Hmcy8I1yZN5U3YhX -TIs4cY8MCVInLwns4MOoxmRd23gyfDLLy6mW4MP0xzyL3rlk+P5YycmBmw/j -ZeQzH9eQ4WV3X6UwPR+63RjVz+shwx62xKC2aV7c76nzkzxKhltHg/rvfufF -t1qn7n2YJYPXnagc9UFetCMvCs2xNoHW69q1uQ5epLCcTueWbIKGSc53r+t4 -UZ5Nd/s2pSZg2umn7FvMi1atX7NBuwlY7fgEdTJ40e3YDhkz0yagPG/24HnC -ix7+ArHOV5rApC/JcuQBL1p7vaB74NMEcZxPmop8eXHXnsHLaY82sE5JcbAT -L37MKGt+k9YE533/StvYbvi/VZHuLWuCd6UGApqmvDjVYun2s6UJRH/1hErp -8aKxxw7c9L4JRGTdAugP8mLC6HNmiYkNezO11XFFXiRzlunup28Gs8jNKzRp -XuyfcfE/KdgM8U3b/RoEeLH9WX+p3bZmiF02vlfGzIsZayOffDSaIctWW8Rk -mgftpR+xxJ5uhrQu6d26gzyI+zV359k0A+xSlAGCB70cdS3Ibs2Q5GrYrJXJ -g6HtuY+Gg5uhLjVC6lg4D06cdmidSWiG9IrP8kYuPJg/58fKld8MumWnRy+d -58Fm8qTBVmyGwgTqGX9NHlSurHmuSWuGgcs3nNLkeHCZMjph+r0ZyBIyR9rY -eFCSx07v5mIzuFf+Js//5cZYV82cYM4W+HaIsrRrgBsvL7twp2xuAZHcjtEr -Ndz4KJfO5/W+FuBdHfF9lc79v/VpC1DU2NtmQrlx9NYuh3GzFjhhebpFx4kb -BWLbvtM5tkCoQ47nc1NuTH6LjmJ3WyD4P4mhhYPcePcw/+LemBbQU8masJTl -xuru2nD9zBbomNcrb2HlRoPAxi12lRvrpTPsVv/LhWoWcmSfjhYQVx4wK+7n -Ql/zD1djR1rgSz6q7KnhQrE7/4Ty/7WAM08juTydCzmbrVubGFsBzT4waT/g -QnPl7QEjIq1AecCz2OfEhast+ofnd7ZCVrp58s1zXLjk38LEq9UKGi+qZ7k1 -uXB4Wadju2ErPLbS/lIux4VK/VfDjti3Qmn2kr4dOxfOcKoe/c+zFWL9vu4S -+ceJ21/kzrqGtcKhL+uh1LecSH1CTgtPaoWc/lPWMXWc+PNn8PGMolboN+0o -NM/kRNfEye81ja3Qbufjve0hJzrn0fv3D7RC4LolsezKicPiVfwTP1phbbuz -34A5J1aNiaUwr7bC4U9lr18f5kRWAZmtm3nbQG+rwvWUbZzYltiTcUCuDXgW -ep9GcHPi7P2tpLOqbZBtlnc4cJYDn3XIRl850QYLuq9t7wxzYJFzy6q/ZRvw -Nk6v+TVyoIq7gH2CUxv87rwoFPKSA3cNMJOLA9sgzJ4+Ky6aA5/Gp0m1x7XB -5IPeope3OdC1/IvzaE4bCGv2qzRbc2Dtvq7apeo2YPJjUxnX5UBvbitGgZ42 -qDjlVMC7mwNf6sXrKIy2wa5c5nQQ4sATn2/5HZ1tA/vHPTy3VtjR8t1KsQVr -O9hytM8XfWHHEUWFj24S7bCdb858up0dqZ/pmB/uboe8DGPVQyXs2Cnauyvj -SDuMjoheDE9gR4v5KfMak3YoeOdT8MmfHf3v2EX0ObQDb4wv6dAVdjzySq7l -t3c7sHJIFCedYcen/vtZmB61Q9zR85eZDrDj/dWY01Jp7VBzcK+WG4kdWWW1 -n6mUtcOt6Ry1MSZ2lJs4/Od0Szu0XaszvfiHDd9djNS9PNQOhWkucZ/72HDL -HcUsvz/tsPtpw7xDDRsyH5bgfELXAToGxb4zL9jw7kuz24UCHfCnUXNLyMZD -KrTm288W+Q5QX3SY2OzGhns9Guw+qXcAz4TS+7r/2ND17cTowqkOuJMe99NO -mw11P127wmfTAfeFEyR5drJhSZTqzA63DpDW13BBPjasHDcM1g7uACNNr3HP -RVY8N15DskjoANFJ00CVz6z4MNKz1i2vAzxsKVqLraxoPhJg+5DoAMfYcanG -Ilas6nvPldnbAXNByZsfP2XFCtcgovZbB4gcmDp61Z8VdSv9PAcWOoCa/SXs -2BVWdM5sUfnL0Qmb37nObDvLins0bJZYNnfCptYUf141Vpxe4iek93XC7Eie -8PpmVtzs+PKO+rFOcLk/nDTNworlEfKqRmad4Fa5W3jiLwuWW4eMX7veCWve -L+5OvGVBmdHO2Ht+ncDdqTE0Q7DgguC8RmJ0J7x4NbOVPocFDy4wvS/L6AQU -o9oKRLHgt/BF967XnWAvTIlSuM2CKz1U1u/tnRCd9q/whA0L+pIfxa996ASd -ahW8oceCV67uIYn864S7don1T/awYG1dRYoSYxfAs61lLaIs6EGWkdAT6YJI -a+qTVToWTPd2ibLZ2QVWpSmO6j+YUeNz5vptzS4oi4va50Nl3uBTdyX6bBdE -MWf8aHjDjJlETUeuXRfMMQ1F86Yzo/eBlO0NHl0w8nifgn0YM1ZaX7479KAL -TIvyy2tdmdFejZ8yldgFBhYn90pZMKNPfbo4R1EXdMdyJAceZcalJRFrucYu -6LOcWP6jwIxfv7okHRzoAvvSaX1rQWY8dLeo3/hHF3g/lQgfWGHCpTYai+NK -F4hwXq4x/saEW5re77/P0w0a3LSRgS4m1FU5aZ0o2w1ZOXPd1hVMOD6SEVmm -0g3i3+yvTiQzIVvDOLlTrxueNEoVBIYwYd6I8PpXi26Q0ZFJknRmwl5lJa3V -m91QctlJtcaMCW+R9wYKBXbDGQVW74tHmPB5BKlDMa4bpqN/OXDuZMIjUbOi -ujndkPpMjK6WnwmvdVZct6ruhvPHYrTdlhmRX9u20aO7GyRjTJWUvjKixr/5 -zZGfu+G7v13zZCcjfh30DMie6YYqvhqWynLG//2/7oF0Hevp+8mMWH5e1fid -eA+EiJ1+cD6EEb/8dm+cVOwBz6igNiVnRoypTlJjO9IDrrmsZVzmjIiNRSUy -Jj3gbj+g9+8II7owFezVcOgB//qJkKGdjJjmH1du5N0DT9HQpU2AEU1UHLSu -R/TA64vrjHUrDBgqJ9d5L7UHPmXMa1d+Y0D1k21WiaU9IBSmoVDZzYA388zn -ypp74Cxfa23tawbcerwvpmuwB+I1UpdaUxnQTEJd+fvvHvjG1Ph58AED8u4I -HVpb74FDbnvcJl0Z8L789oZwNgp4xXsncFoy4OJeXlFRAQp43tsPu48x4C/V -lZsZkhRQ0rCwN93NgOYqv5v3yFMgu+ofa6AIA2orftpcq0SBj4zzu8roGDCX -9M7rhDoF+kWvU3792IRhbH0b9RkFQuZOT2+nbcIff2h7bE9RYDEpJe56zSZs -6Hi7Ua9RYBePzevSzE0o+uLjL29rCkjqR5rRR27Cn26/9FmuUqDdQNHL5PYm -VNVafvnYlQIHpTTE8i9uwhV6Hk5pXwo4l5ercZzchEcI+Zt5QRQwF0l9e1N5 -EzJ4aFPVIinAeHRx9q3Uxry8rUrTUwpcV6t/rMuyCZd6gp4ZplMgen6+qGqS -HpXc8ulGXm3o9086rTxEj595Bh2ulVNAnFZ8tbSRHrmzWClzdRTw/avMpJZP -j5Uqh9TvtVIgpV9euiGeHofr3F7w9FLALyikytCfHv2OFHInvqeA1Pq5vu9X -6fFZ7YT39m8b/tpxNwKM6XHf/n3jZRMUiD+jHSyjSY8n0r3OHVnY0CNvRWqR -p8fdgulVXfRU6PfSVXflpccDMaFs/3FQIXbFbEh2iQ7tJBWPfhekQnZBHP3g -FzosLYl0cCVRQSBqpSC2iw63mhZ5rG2jwrvUiFHT13RYxxDpHLaXCtOf9BIl -0+jQq07BVPggFS6d3T08HkaH54OCt6TrUGHvX830Knc6/M8sZUTRgApGtZ4T -0VZ0GHDA496b81TorHqPN/XosF2WQ+DYRSokj10VM9xHh2riVhGUa1RoPiq7 -oCZJh01STlMW7lQ408N4QZ6ZDn0UtbTH7lDBQFAuat+ndcL4RIevawgV8sej -XOXK1gkDF+H01Sgq1EsYX9wcuk44ZW4uCX1GBb/8q5bylutEybfveQIZVPiZ -1GentmedENvnGJOcTwW2P489TRnXicywQtsdr6lAe5b95M67NcLkb5FUGVLh -dDYPuShvjdhm49yo1U4FT/7OlQn/NULqwx/jNtqGvuHhI2qma8SByzt6jD9Q -YYhR+/HDHWuE+7Ksysh3KvA+XJ78tbpK9CcOBV2ZpMKCC5vFud5VQiM9km96 -kQrkyaVr7VmrhL9dwHY/hl7I1bau0vVZJbI+FbazcfVCqYPqiY4zq0SRmMRC -nHAv9Fj7i5htWSUSuRuLZKV7gUVBc+ufhRXiKpE9U7ijF2zaHF3DulYI0V1t -jZr7e6FLg2ddKX2FeGWyjdR5qBfO393S8cFjhSCpNzBY6PbCpid5A49PrhC3 -BhPcf57phcaAbBkj6Q17lQJnb/NeSAGhPNHZZYJ8en2e3a4XEimzt8baloka -mQj2RMdeQOUTd+uSl4lnxedzFD16YeI6T2uS2zJhtmpFJe72wkEPvbNBesvE -AuOLIKMHvZBmPCNyS2qZ8G2Va/oW0wvSjAKbb0wtER+Pf470SuyFppCMSzda -lgj5e++/cWX1gu9Izq9biUvEGW/+lvTCXjjDKl0c7LJE/KcYvF/tTS9oMrFX -pOguEbqJqgrdDb2g1395CSWWCMEWuQL7zl5wvK3q93NykWjOP12z3N8LBRO3 -j0g2LxLnzpaZPv7YC5xqu3XOPV8kOgrOeyv86IVAk3PBT50XCZuSHccbpnpB -vy6s9uexReKMjuSg6UovPP9rn2IisUgk2ivt+c5EA8fxrwoDkwuEroDdKTce -GpBz2O2cmheIk2dfK66K0iB7z4COdOICUSixq++eLA04/I9Tx10WCKdb9cCy -iwbT8VasbccXiIcXPJ1DVGhgc0viJ0otEEx9py8zAg3MJPw8KNPzxPA7HRk/ -PRpQQ4Pz59vmCW5Hy7QZQxp01ypHqqbOE8kRsd8dLGhwripUIsJjnvh//wc0 -cPELNFo+NU9QfC6jzk0arLPJKgfIzROeenSmBZ40ELzggKSlOcL7RVWBYAAN -Cj2N5mmUOWLwYVyXZxgNKOZf3qVmzxHxjNHFbx/T4DaD+KUgvzmigD3/P+Uk -GqS6zz0PMJ0j5FJ+tEZk0cAk//aduF1zxFy97sqXQhqE5aey1TPMEfJu5BnV -NzQ4duvmYcb3s0RRiW1JcAMNHjKOyliXzBIJ/jIqtA4aGFks51MfzBIjw3R3 -JPtpkOxV9dXi4izh30IXZjtCA1frzc2rarOEvdOva0VjNKA/fPjVA95Z4gZD -+G6+fzQINdj/hzo2Qzy/97Hv/hINmMMXwgfqZoi/f8cvcDD2wZ3ZyJAncTPE -Vb3stgyuPvgRsfhR0HGGYI8QFzUU6YNTJipxBtozRFet9glemT7I1juarSM6 -Q7wZ2nxhdGcfLFyTE57/M020fSk2blHug8PV/Z8vNU4Ta+8WdhFafRCk/h97 -9NNpwrxqZrxNrw+qvhVF3r4xTbwPyQwZM+qDcWLQRVJ7mvA7wsEmeqEPpFv7 -inyFpwn9sa03LBz64OBa2qmEn1OEptdsabHLBh/bI8dc66YIk3mvD+K+fWA2 -U/KMJWaKiLlY+iM+uA/Ol82dMr80Rcy+Tnu3NboPDNI5LR3UpgjfJZ2XLc/7 -AOpmWvZyTBG7diRZ+Wb1wRbWwqjKD/8IOp2Xs0eL+2D97sGK5cJ/xKK+oxOp -pg/6tz5TWw74R4hqfengaOmD5MV2kdfG/4gLUjwcnL19YLXcZay09R/RPP53 -1+YPfUBSePHbbm6SOJcatPfYeB90huqPmrRMEoePOsZrTveBW95/5pwJk0Ql -BQZbVvtAYPc1j1jHSaJaj27pC0s/FHF5qf85MkkYvMpfiObrB52TIenCYpOE -48Lxfqp4P6R17bCT+fqXENvTE/lCrh/K8WhXRcJfwtjo6HaWXf1Q5mR574/R -X0LCOiN5ZX8/5Ay5BTXx/CWczk1N3TvYD7H0YTQtygRhqKa4NUm7Hxy/Jt8w -j50g6hlNVfRO9IPavRJjIcsJoq76qlzQmX5Y/0AOct02QeheuP7X2LQfcKaf -wWXuD/E/fnbALQ== +1:eJw1eHc412/0N9l7bz6RkayWiNL5GgkNSVYoRUWphCgaSihEWSUiosxs2e9j +fuz1MTKSUUYhWdl+nuf5Pfcf9329rvucc73OOdd1rnOOxKVbZy5vo6Ki0ti6 +/s/7/09t5fq/7usk/JrFQu9+SBVkW46dKblJQhW3i02lAnrglNfj3X2bhE3X +n9+i+XIG4t1yAnfdIWHEBqvV8VYLKOPudMy+S8KOQjHTvlQbqHl+RMr5PgnN +LjNnxn+0gy99f7LtvUg4/rix2POrPYQyTghGPCXhUw67e1c0b4Alp5zpyjMS +kvzsXbNmnIB1Lvta+AsSrpUx+Ry0coGPmd6mV0NIeDUj/KbeyB1Q1I8WcnpN +QnNz1r1DPnchpmQtJyOahHWFNxq36XjCEnOitEw8CW/zuu4IZHsIKf0aP4w/ +kfBhhyOzkoMXSPTeulyYSsLiQy1GanWP4TzNoWTTDBL+hItjYzLecN48NkM+ +m4T0Qzsa1J48Bame6LsHc0kotJ13XanPBz777Kd9kEdC4RXlB+S9frBmbXtm +eQtzOfscp/F9BpyXFGzTt/CSL539cPdzGHsVpBK5pd92MK/dbmcA+Ew9byzZ +sh/tFxbg7xoIY64kacFMEp53+RBiTLwANtkT/6WmkZB5bWiMYAiGZXoeklsS +CTs5k6rHZF5CEpdL2d0PJBSfdTtSXvsSRPUcJXLekXA0zvq8o8MrME3Z0JXe +io+4uJX0BGMInDkot7slmIRdjs6hqp9CgHd2uifTb8u/Z++TzHRCIbr7xLH6 +hyT8fHvUTn8wFMbGtd1FtvLbvkunltUzDFakOxwSrpHQPrOwPY43HJqD1kmX +L5DwPt2xp4zp4XBFEiOsjbf47prs/08nAmpGRNpe6JKQTSS536A3AiZaOJsX +DpIwsO+Bt8yt19A2FvMiXI6EtXhv6inNGxBg4w5pEyEht0SaisCbN2AmkW/N +zkbCC2u8F74pREKwVMDM8Q0xzD+Te6W7PBLK+V8Z+P8RQw4p/5N0Zm9h7B/5 +et2gGLo5RfBcnXwLLLW7zzK1i+GAak/B2uMokPKrpTGoFMOzHmc0agSi4eCB +EI+AXDFsVN0WV5keDZrtgQVNiWJo5DQ+Mq/9DnTPF5RyvhbDr5J09Od634Fm +D6//2WdieP2MOcOcUwyoaMeLRd4TQ6b/m59YkIq2uTtwTQyTxOM/YUwsMP8w +fCtlJYayNic+rAi/hzFhJ+/rJ8XQa+rS8Ocr7wH/K9+fc0QMrQp4uR5kv4dg +E+3U1d1iWIQXd1/eeA/mFosTOhJiGENvoHHVIA4ETvT+CeIWQ1r/JnXviDho +U/xT0kMjhjMGkzsLh+PAe0PZSHpBFG30P9Iy7Y4HhbLUtNujonjaZ7XV2TMe +Wh2NW8q6RbFu43fgIjkerjMrFLLWiWJFnvvBMN4PsBFx4JplkShqpsRQjl/8 +AIHcTsMpqaJo8mpVkf3zB+i73cU4Hy2KguK7BBpXPkBusm3V7iBRfG66M9jn +WAIIFQnwnX8kignKi+HqYQnA9mF25aGTKN4qCpf/PZgAAXbzd0IuiuLwGN3p +14qJELoh6vvmjCgylOrSgEci7LrtKP9KWxS71MyPDtckgkHJ8OX7yqJofF6d +/zHPR/j3/dGBc9Ki6Ck3dUfE5iMo9mu/leMXRaMYJ7ustI/wN1MpbIpedKv+ +VA1pLX8EzfP/SX74J4IMDyamWo5+ArEfd48dHxfBwbGBZ+Yhn8Bb6yvV6FcR +vLaSkN0/8Anc7p03dKkTwZjcQ9et5JNg7hnL/rlCEXzC/yG72z0JNpy+p15O +EUFO8b5np6qSIGxvb2H9WxE80TYyhZzJkF+/arUjQASV5UqHlKyTwfawTrij +pwjWyV21e5OcDNG+OZeSr4sgM2XozvpCMtgk61d/tRTBlR2K/Oe1UiA7gb50 +5bgIRgjrHy0KSoHAu7/0OQ6L4EDhARruvhRYlFq4zq8gghSqOcPLO1NhIllG +klNUBI0OTlflu6SC24AMQc0qgqrJYauMmArpke+CZ1aF8Ynx6Jwlaxq86PMP +6/8tjMqqI+kZ5mnAlzDdVN0njMfPBuyiTUwD3bnaQ2kNwliTMnDF4m8aiNUJ +DQQXC2OK+rfLGRrpECc9lOuUKoyzNH4y9P7pUM+4HU9FCWMcfX+SdVc6xDhT +NncFCGOeVv9k3o7PIGy74bLNUxj3FfjNst/6DEd6Y0W/XhNG/qsDhfbFn4Hl +65fllHPCeMlwUKuSIQO8rHRZPA2Ekf32ixeksxkQe/W4kZ66MEo2/oz0eJ8B +9ss1DdxywhhtM3G1ezIDurkKPXqFhPGIQKPnW9FMmM6UOB/LJIyDwvcS6rQy +IbOLyu3ishCqFq8qLttngoi3aYX4hBBqLhkL7grOBKX8HdrfvgrhWttjW4u8 +TJi4dXkpolYIHfV8OPz7MuFkJmn4ZIEQvrA8L1pMnQXm7qeotiUJoR0Lm//k +zizgrJ4/k/NaCH+bvTInncqCG5FcvRf9hHCn5m+/065Z4DqfEM7mLoQeF2YG +nrzNgtVB4YovV4RQ77ibVB5mQY/D96iLpkIYRvvAfGx0y17w7A4WXSG0CKD1 +FGLLhpjTZ81yDwhhzHfWwOP7s+HpZ1o1a2khPE8dEfDAIhsqk2hq6fiE8O1M +7L2MR9lgDka0n2mF0ChdznQoMRuOOk9NmcwLop+qigRPYzYEaH59uj4iiCrB +Fb06s9mwM52rLoEiiJdyW564CeaAaF5k0fFKQWT+ZC2UdCQHHCycLGazBXHf +VceYHrscYH0bnvgmXhAp86tcLAE5wODOFHskRBBnDFldD2flgPVMw9EfjwXR +/+67qhvdOcBI/y3y+W1BjLmZQRO7ngMsBYejlC4K4p59WntbJXPhCv1vfcpp +QTxcaXKS2iAXhP+OJbr/J4hkiV9m+5xyQebu/gyRPYLYeHLztG1ELvhGNV0m +tgviqWPhB8NKckHTMr/uEocgnmBPY68ezoUzX6Z66TYFsCZem7LAmAdlGS6R +SdMCWEJr5SuzOw8e6ujRHR8QwD3KCzvNTPIg8s510lSTAIaEDlX5eebBUJDs +YHCpAFr4zDkUxOWBcn/9UeV0AfRmkheYIOdBoPUZw95oAeQVf9YoNJ0HM9zE +2uNAAWSqY/Y34M0HqzXOU/L3BfACbY6hp3o+tAjpaXddF0DRdi+xNJt8OHHd +rv+JpQBq7HOd6/fNh7aZy0J7jwtgmdSLNrb0fLiQcmJlUF0APyU3fDlCyYf5 +14KPQuQEcB53f7y1nA+hhXWfjgoLYOK1wuj327+AOoeN6wqTAGZ/tI9qO/oF +Jt/0/chc5ke5Oxrx2xy/QILp4WX7CX6k71LN2h/yBez0nmTt6OHHY3VmtXYF +X0DpZjrbQC0//j71bix84AtskEvZogr4ceEKIweZtgB6TXKyLJL40ZYr8siS +XAGUCAQtC73hxwOnDN12GRXAR7ZTP/v8+PGamHz+OfcCiFSdc41158dtjxQ2 +At4VQET4gyS7q/xI5WJ8qrSyAN7unHokb8aPF5djP05PFEDSlObqnC4/ynBz +M4hzFkLJmKdwmQo/nilNvmWkUgj9fFHfnsvwY+Hdpq4nVoWgoHmu0YyfH1Ur +RvblPimEvn2uN2Xp+bE1ctL7Z1Ih/Pg1Xrm6wIePN8fq+FsK4YRDQWPbTz7U +m+uk1VsoBM7Pw89SOvm24pOvfE+kCPYUXl3yqeZDSZ/nFimaRZDhf0zELo8P +d0sauvRdLQL/HU/+6iTyoZUhwxPWoCKo9BLwlA3nwximbB+N3CIwTWQoYPfh +wyUjw4c3e4tA7+WZpH+ufGgv+/16LFUxvNZcOj5ix4cjgRdPtcoUg17hUnzb +WT70fdQlTX2yGAz/GadX6PAhUB2Z3etSDPmrTNfzlfmQk+NNzqXIYnCrEe5P +k+LDjdQfDqFEMUSY+VJ95N3CXZJ8VT+LgTvjxNc4Wj4UfGmSN89SAr8ab9i+ +n+fFE933DKT3lYB41kRc3A9ejEh72WFiXgLZlhia2MGLSxxvjX0flsD7xr8H +06p48Q51BDk/oQSmaB6+ysvlRYbHT/eM1ZdAOPXld+UJvJgVdDlI4G8JRFd/ +tGoN40U3ObWhYwKlsGGo3TL0lBfjVEqU72qUQm/5OfVFV158Ep4almRbCscP +s42wXeZFoWMM1D3PS+E0ca5O1oQXrx5vuceUWQq/DLSndI/y4pV4mk21rlIQ ++V5zyv4AL0qcSHh5ba0UBj1/TgVK8+IrvSylqB1loC4RW5/Lx4t5r+V6GvTK +QK5l7sd3Ol58qcb5cu1m2VY/PnKYfZEHdyhdMlYMLwOyzs12GOVBhzvikueL +y+AOa1iiaxcP2nEc3wwaKoOi/rP5aTU8/9tfExCZl0k7ns+Drod+9s8oEkD3 ++tNLmU88+Krp7IDEWQKYHquds3/Ng1ey90ye8SAgzuXKxXQ/HpyduEv/9D0B +TTeVPi6486Cyq6JiXg0BL51fyWra86Cc0Smb0UkCxh4Gjweb8+ANnGoJYELo +CJUdHdLjwZjHv+4kiCKYZllJqKptyTuK7SjdjeDYLf82eBcPcnv5dnRqIfDT +R5z+LcSDJqXSL6ZNEMw1onQMmHlwXnL1OIMDgtKDQ25pK9w4mUPPJX4f4U21 +20+u39y4W5Wm62AwbvU7a4UefdyY7p4aZhSP0LPdU2SsgRsv3dk8cS0PIcp6 +jsmshBvP7tnceFKLQF6186lP40avpJSUqD4Em5WWF5rvuPHHN+rTudMIthbK +kiUvuNG7lfZPI3U5tAqGHFV/yI1WXjl+P3nLIeXgxHzxTW68McUmvLGzHP4U +qO3VvMCNxQK8H/kPlUNspPdKnSE3/rdZLb/7VDkU9dacNv2PG6kSJFOPXSwH +uE+jPLqHG+foFKVsXMvh4EO1hHsS3CgrPRxx168cQgft4zi5uTGcWpvm1dty +sPrwSj51GzdqRBk6JKeXQ3BNjpb+HBeSZhnqyrEcFAxaRn+NcKEaveOOXko5 +qCj9EHjZwYXB3Z53Zke3+DrPdh6s3pK/olrBvFIO/gIr4j/zuHA8K4ZRkq0C +ukRXl8I+cuHvoiy9Q+IVEPhkwVrvNRfufOz0xHh/BaTpTRhu+nFhDG1f3nXd +ClC/1dVceJcLDY/+Hfa2qIC9iyW97g5cqK6dwxTtWAFh36Jd1M5x4b5O9z25 +jypA87Jc7IYBF7K0h1s3hlSAYJOQLfkQF9aosb36kVgBQnzXiVAFLrzMOlC/ +VlABOhqkXFsxLhzWZ2Tha6yAyKP7NVXZuVBrNsBI8fuWvlLKFfZNTnxK5xBz +dLYCcPGJ1MQfTvzkHfvXmq4SQmJLPciDnJjquPu4m2AlvJA955DcxokhKJga +JF8J2a/MZ4IqOPHcI0uuT0cqYVtfAcfdHE6kSf73gDCqBA9aT7JdAif6aUzN +dNtVghR7rIBJOCfOHj58bca9Ev78k6LS9+VE+PRjgjGgEkbLWR//586Jt+6P +3pKIqQR6R9O3h+w50atEc00tqxJOL1KfULfgROeri0Fnqiqh0oYj4rABJ+o+ +oJW93l0JNqkP72od4sTV9etk71+VINtlOHdcgRNDJxRuRK9XguDQE3oLMU5k ++e+YUB5nFSg3CmQ7sHOiHXVxQ5NkFcwoiU2ubnDge8VnT0dVqqDepzlBfogD +y6o+a2/qV8F6tHbQpUoOLKlRYhK0roJHrveT3idyIMn6c/MepyrI/mu6+NOP +A+2yKC/0vavgz3Kmx75rHPiyMkb3UkQVqLzwP+B7ggPjQzmWPZKrwCd1RGZI +iQMjJGQSQ0uq4PvZklPaXBzocmPYIK2lCrSe86anzbHjfnedX1XDVZClN6kp +1sWO3UdOeH9bqAK5UG228AJ2tK1a5ltkrIZ0e2EO3ih2bGU4Gc8uWg3qdU7H +3j5gRxkmXdmdu6uhNVc3f6cNO56v/fEJtKrhtny0ZYkWO7oc3SVhblINMoq3 +Vc2l2dHNiyfMyb4aBgqr9VcZ2PHCvQ9Uzz2rIaotMiTxFxsq7Om5EhdUDZdc +p7jMmtjwe0JuTWFcNSjHI5kjkw3dug+It+dWA68lT25LCBvOkq1cfpGrYSnm +Z1fEHTY0dtuF2/qqYfyG6p7L5mwYORxFLzJdDT/IjKh+iA2rWHN191PXwO80 +C18BEht2zt/2Os5bA0uict6rVGxY/7Yzx3ZnDXAJeuSPjrBiwsbAoKd6DSjH +6Iv31LCinXgQQ9jJGriY8Qbbk1kR92sopdnUgIL7dndKICvec9S1rHKpAXGJ +CMm+W6z4rD45qN+3Bo6mr1VPnGHF6ZNXa+cjayBCxPj85gFWTF98yMiWXgO8 +jpGTIkKsWFM1c0oaawBjWpxgjQWVC0qiNChb8jkLvxy+s+Bq6/C0yWgNxKaw +WEZVsKAoh63ezeUa6PTmKqcksmCYs0aSLysZVIBBjOc5C15Zvc0eu50M1f2T +Ny0cWTAomcrzyz4yeFtV5H80ZPnf+ZQMd8v85lf2seDwHYWr4+ZkCN6mIWvK +z4I8YXWjVI5k6JAdNipYZsaYbnQUekQGvb3utyW+MeOj/7iX94aQYUJkzfcV +MmNxc2mAQSIZ8sadQhgTmPHUk0op2wIyZLzuDPX1Y8aDlpJVng1k6JWVC2C5 +zoz3Lb45hA2QYXf0zbuvTzGj0IO/fOl/yZD5N95Sbh8zstZcqK2mrQW7XWTl +Kj5mtFCWfTwgUAta2n00l5eZcJ1s8N8/uVo4Dd9rWb8x4YoXmY7zSC34iVG8 +i5EJ+1d1GmSNaoFfTH/77QQm3N3p4K9pVwsKDyg+is+YcJ5VRfucey2UaTh/ +mbnOhLIfkhec/Wuh87x0bpEhE7a9rooLeFcLNwYnPQP2M+GvX77HEjJr4WlF +LbutABM6R8+MllTWwna6QkfNrcnXKY3aq7OrFtSTSsNlvjNiv3AR9/RELXSn +dj3nrmTEojGhWPr1WljgoNWn+8SIjDwS0ts56+BNx1HKhj8j1kW3JKhK1kHh +cpTs5k1GXHgqTTqtUgf2j+j16Y0Z8W3Djlf2+nUQcNlHmVeVETOdyOteVnWw +L03wt6wIIx5w5bGLvFUHlsboqLPJgApd9FVZT+qAxvJ+4ZURBnwTESdWH14H +SpUn2oLJDOicN+I0nFQHPQF7c4lUBizd11S6UlwHTHlylxaDGdCD/TwtT0sd +FB052LfflQFT9CJ05Ifr4Lfsue13zRlQf+jOQ+2FOoh2ealUeZgBrb6uZVky +1kMrqY+RT4IBBxTlv7uI1IOfnPrnG3QM2DZERR+oVA+lEemkpgl6bBRsV0jQ +rIcb0xeU9zfTo+W/WYuSs/UQUuJZF5tNj14PbF90XK0HGWrqAe7X9KiZKkme +9KgH+ZI/twM96fGN134GuqB6eD91zIfVhh6froecFIurB/9wJsFQHXpk3KH1 +9kBuPQzlKMuJ76JHyen/pk6S6yFdr644h40ev14M1r3SWw8TxuTGk7N0KPVA +8ePDqXqI6FSynu6iQ/r/RFhfUzVAftOmY3gxHT5KMb+bwdMAOofV17Te0+Gz +kp+/yDINcFpigPnfUzrc61ZhO6jWAOX3f7/JcqBD5+7p4aUTDZB46tJH51N0 +qDt4zZ7LpgE2I7T2q++nw+yXKvO7XBqAbBIIjIJ0WDBu5Kvl2wAb/tDQv0aL +puMlJMvIBvioYtX1ZYgWA4PdS13StuybD9tE1tCixcDjS4FEA5z823btcSot +FnX0sSW2N8AJKvn5Wy9pMd/Zhyj92QBFPlNrdndoUbfgoXvXUgNEPxbysTlH +i06J5AN/WBphau5z4CWgxT3qNisM2xshoz+d57oULc6tcBPi+xoh1Fl36h4T +LW53THmgdrQRDCaTJoOmaTDvhYzKGfNGaNPv5EqhbOELfuPXrjeCYkCDVUMB +DUoMN4Z5P2wEk8yAptl3NLjE+089+lUjnC7ksxP3psFDS3R9uQmNIPrRQfys +PQ3+DFh2bfrSCF/c/LYFnaTBtZY2xtH6RpCVv8XcvI8G71cFRWx8a4SrleIH +eQVp0N5hD0ngbyN4QHSAzfo2LC3Lj91N2wSWsUO0OcPb0K1KQkRPoAkER2Y+ +sNRuw3iP2y9t5JrgHXO9/bX0bag+lLh5V6MJZvluGLeEbNviU2b/6nQTCNH1 +2qrf3YaJRElDsm0T8H7lfJtqvQ09VGNlK9yaoD+Ia3GH9jYsuHDlUe/zJvDc +1e/+XnYb2h3kbp2NboLRT07bpdi3oWd5vDBLZhNIMbdMps9R48qKwAXJyibY +d/rfd40eavzx4/a7Q11b9j0mlihl1Hj4UWan8UQTVPklqjolbMnXURgc15pA +/558NLc/NUpV9+1/ytEM0ac8ZYpvUaPugeMXonc0Q87N1REHE2ocH0gIzj3Q +DA/+4x4mHaJGporxqka9ZlitJMR7xakxbYB/84dlM+xdpnkfRU+N7cq7j6zf +bAahwYELtpNUeKdq7xO+J81QcPe0zd52Kox6QWpQDG8GpkrzOLoCKtR8uSCo +m9QM7MS/HYPvqPBaY/7188XNUOeo+BO9qZBb61KlW3MzaDQuj31yoEL1v/+2 +Bw81w4VvVvLhhlT4o8f98af5ZlB7b5rx7ADV/+6vW6CVd8zliQgV5pmpGH8V +bgHOQ+z3nlBT4cika+WMYguwyLx5wNWySYQUvzvIpNkCdmqa/nJRmwRWZmZL +nG2B7ZkCgqevbhK36T7vVb/aAkf9RXY+2b9JxHmF553xaIEu8okvuLlBnD1w +9cj1Fy3QYp9ay9K4QTyTlGz0ft8Cu2+oWtq+2SDUjtedj85pAarOmZtkuw3i +ZprFYm5NC+x7301zcO8GIX2sI6SppwWam38L5a2vE+Yiasqjky3QYaOYdaR+ +neDc9ax3Y7MFtEyj6zoi1omnMrIVAUyt0GVG3+Nmu04s7+UUFORpBSN2NROp +PevEb5W1mwmirZB8T/nIt7U1wuLAZM0emVb4EbkUGle3RmgpDm4v3d0K9Ld8 +TG9HrBHJpK/39NVagWm51+eE7Rrhz9SxNZ+1wm/VZYn9e9aIiSnKnksnWiFb +flBOen2VqGjo3prXWsGmI/j9jvpVQvDD998eF1phai+jt/zrVeKXy28DBodW +sNbRbwe7VULlyGpKqHMr5LMYB13Yu0qsUXOwit9vBapn0l/8N1YITULmZppP +KwgU4onyhhWCxk2r7WBwK/DHShnSRG79y1w6UP2mFebVjMpOX1khVlp83hrF +t0Luc923yftXiN0u6VQDqa1wLoh2hI16hRji6Ll6La8VBo8FvHnQvEywf2Rs +XSxrhWP5vUVLUctEwYHDat61rRDZM6v/yGGZ6C9z+cDR3gpVWZSjXKrLxEPN +DPbovlboPvLg82faZeJt6bSH7M9WoHj98TZrXyL27d83njvdCknucjUs75cI +/fh7pppLrXBJUulOw40lQok3vqiJug1GYstLIw4tEaohz5jOsbSBKFNa4w2m +JcJWVFF7lLcNNs9PxZ3u/kfkZAdfdSa1QWicjwYk/iOkTTLdNna2QU+ra6ya +yz+ijCbYyX9vGzRPFpFB8x9xr0zehP9QG7gsmOUbcfwjzHx8peJ12qDx1/Hr +t74tEufMYwcUT7VBa2PE6JvUReKxqpt3oVkb+EYdlG2+t0jU72DhOXqxDf6Y +7t3DobdIHBQ+/6L1WhvQbXptWPIvEtVit2YtXdvge+jO0NwfC4Sn4hGtsQdt +YMYnPS2Ys0AY6zfcd/Zrgwfe9zieP14gTt3mj19/2QaWA1L/aE8vELcSt2c/ +e7vlv/TOxEDSApH9czSNJ6ENhM95CYlPzRNC+xxDYtLbgN5zz1mieJ5I9M+4 +tOtLG8Q8UzW55j9PnP2TKZaLbTD2JFxUwmKe2GnjVHmkfouPg0HKyM55Quzb +lHEdpQ0CNUw3shbnCNUru1qMv7XB6HqBYGD1HOG6uuPAwGgbTKc4L98OmyM6 +o3t97GfaIE3H+72t7RyhHh/MNbfcBrtdT9+5sG+O8LJ9LPuQph1ChuG7LfUc +8XEwo56JrR0Ggo0GnFpniUwhkaVw/nague/j7Bs7S0SzV2buEG8Hpk99UQk3 +ZwkH4tN8xq52WOA8e65BY5YQVKir1NjfDqWF0+krrLNE6tmdpMbD7XAlLjVi +X/9fgqRWQWOp2w7zZH9el9S/xJ2eSNdfhu1wUTFwZ4nHXyL1wGcnD4t2SGvL +aGEz+EtUndz8x2zbDt9yF1jthf4SJRIvmKMd22Gzy/p7/fgM8TbLLEnRrR3+ +qI7rqhTMEObr59uIR+1Q2R2mneI3QyzRfvA587wdXPNtO2XMZoj7tZLVP0Pa +gb7j7FqqzAzx/dhQ8L3odqC92OrkMvmHkPHu+8n2sR1SXx/ieR/whzD04CbH +Z7QD/4nf37/J/SHOKfruP1jYDloPv3cp1E8TutEq8s0V7aCmJLgU6DBN8JIl +P9s1tsOEZbj2BuM0UZN+smS1sx2sGMwKvZKmCNPTuSah39shYo+1Ba/eFNHw +2cxDfqIdArs/SRaOTRI22buOVcy2w3hhVJCX3yRhqCPaY7LWDmfD33He3zlJ +RNvt3jNKRwHCIT05lfyb0OWxPeHCQYE9qg0WHPa/ieOnvyiuC1KgeH1R/BPj +byJDRKHDewcFjpTu2XRO/kXculMODAoUIN+5O+9s8IsItHZ38jtAgXM7m6k+ +/Z4g6DpOXqEFCqy27ZXieDFB9H/VkXioR4GYOx/OpypNEOyOVnHzRhQ4xi2Z +6dk6TsS8CBu9akmBzU+Zgl7O48T/2x9Q4LvKiTcFvONEq+cV1LlJgfdlC0rS +X8YIdz0qk8/uFLgG6f3VFmOEx4eiz7yPKXCo0Dnu7doo0RMY3uTuTwERBd0H +H2JHiQjaV1ndoRRYe73TaVBrlPjMnH5O+R0FRtb4PYxGfxKSsRO1Lz5SoPcc +b9Ti85/EYrnu2kgGBShZ2yktij8JGZeqeZVCCrRTq+341vaDyMy+lO1bQYEJ +A5tnJLcfRKSXxAFKAwWWA8PpwoR/EAP9VA9EOynAWfs1UoMYIbzIVP6XBiig +srbrqIjdCGF36/e1zDEKeMkfCq1mHCFu0AQocf2lgKB5GUdn2jAR5f294+kK +BdYf5xTpnx4m/vwZt2ah7YCDycJhknNDhIPep7oEtg4obJqLuhQ+RDC/EBY0 +EugA/z9H2ulVh4imUi19TokOSGdfU+H8OkgU9m63HpbrACF5+fqHdweJupEs +Y7JyB7TrNAacERwkNr4uKRBHOqDlS9k//lvfCYui+fE6vQ64N1irbJw2QPT5 +JfqNnemAMpovzRbj37bqOwuToHUHUO14Xi0n+Y0wGJO+YXm1Ax6og1CNVT+h +cW8hJ+t2B0Sc7KmTD+8jzv679034fgdYWJp1Wzb2EiEXcyYifDsgybZQ03Rb +L7HwJe6r9KsOCL+yySii2kPcX9FJIUd1gILdLsWUa18JhV3vzt//2AGGVqqf +ad51E1Q6KQvaWR3AZrgrcGdzF7Fs4HiLVLLF9/BmDd9GJyF4ZKSBhdwBN6UK +zzcrdBLWYhwsrO1bfBnMjI3OdRA1438Utn/rgDM/vibE+FII0/c+e4+Od4Bw +yRHj/Kx24j9txwiNuS3cpGZ8arCNKGiFHvJ6B0yYeEaKCrQRxXpUKyMMnRBm +SiMjYdpKnEpNX3rF1Qlr7z78/aLeQjguHetsE+6EGw+bJN/2NBFCe1qCP0h2 +Qvipp8PjzxoJ4zPasgwKnXCYvVYxQa+BELmQELO2vxO8S9/QNQrWE7dMZ2e9 +D3WCp9Wig81yLWF0UFH6nVYnLP4aPHfxF5kopzU5oKffCRwO5l+bf9cQZcUO +kj6GnfCry2o4ab2a0LW+/sfYpBMeK0/f/bO9mvgfk4LtPA== "]]}, { Hue[0.37820393249936934`, 0.6, 0.6], Directive[ @@ -368423,202 +368425,201 @@ wWXuD/E/fnbALQ== AbsoluteThickness[1.6], Thickness[0.01]], LineBox[CompressedData[" -1:eJw9eHlYjV/UdmkSkVlCowaSokmG7kpIFEmIUioyRDJE9aPSqFRKoqQ0hzTP -0z7N06nO0GkezmlCQhKS8J3ve9/re/549rWutZ611t7reu51ry1t63T03Dwe -Hp7d3Nf/Xf//s6tPL7GwkHRlLxS8vVMLfg+3iM/6lRBNl7Mt5asNcUlOkRIV -VE5aLj9w4is8ChPvkTWVthQS+VfE8iDNAkueBZRYiFeR9uL1x3vf2GCBKU9V -5EQ1OXFuQVZCij1kMg6q3umuJe+9qKXuXRfgkHlJ4C+7nviI2rue17uCjmNW -eop8TUTC/8LN7MlrcImVHfkBKpmrEPbdbnkDux6Wf7r4tJU4ZD65ajh8C2tC -V2ZSfGjk5EmRrRzfO9i0qSBaoplOGouvUOcZuOPQU0pxqwSTOK+4KfNw0T3E -5f4IG3duJ/faHRdsuegJXUvxV0EFLFK6s81Uu9ELKmFK/7InOsgozr57J++N -80c3RVit6CKCHJlm7fs++BS36kKIcjdZI7niz5ZeXxDXSTeD7T1EfFb9bv1W -f/QMFlI91HvJ0uu+B/n8ArCX4WilK9NHZvwELgx1PsDMEVFlP95+Qt+ez7BX -CMLM6bidpox+EuMfERR48yEMvq17EB8xQM7cSAw3I8EYWBWw8rbhIFkwx3lH -hEJRWcdm0z8NEtaStNp38o9wx+vx95P6bCI15aJT2fAIBeeUo4P82GQs3uqM -48UwrF2iM+BZzdVLWcp9mB+ORM/ayu2/2KTD8fpjrdRwmBVWG+QqcIhgwMu0 -EwaPsTFvu8OkCYdkOI/ZH2A/htztDRsnr3IIY6NBg4h7BAx5/ANz/DnkQlYx -I37FE4QctgnSiuKQ/wT2+8x/+wS/7bOUPBI5ZMHGiT5dg0g80r19+UEKhyxa -+6rPqCcShkN5RscTOORh711veaenUDS62DgaySENFNdPPnzPkEJrGp3g+l8m -na65+tkz7GwVjZVy5RDruRXW/Zuj8F5/+5TnJQ4pOJp3vrMyChlbDIYWWXKI -6IZAY4ET0QgMU7tab8whLtcilztMRMPzsmDkW3DIgFZ30ZzXcwSWlVlQtnLI -Mbeju+tWxyDT53jxP1kOoWrNi69+G4P31cy8y6s4xPTa++HpPS+wy039EL8w -h3TJCgie6nmB5NQ7Ho2/2eTy0ZNC367FQuHQS5PSz2wi/P/qEweKw5vibg6b -pEklpFJi4+A8G0XZwGITRZtDibPiL6HN72gX08Amnp9shzLOv4S4r3SCbhmb -WBatWHo35yWW3i35b2kWm5RQzqqc+/sSUhOa3xYnsUmsoNFuB6N47GdECmg/ -YxP+wJYd3pHx8FXpyQ19yCaTRhMKxUPx6OPj/bvMi01sDqTwC6sk4MDhhSNV -t9jkiO9v2nX3BLQu/XUu7hKbNP79+PBHfQIuH2zyTrNmk6r829sjViRCYu7e -7oFjbKL3OpZ58GwiJmRXP9Y1YhPzsN/KizMSMTt85J4H2ERMauNq6mwi7liN -zxips8mD4wqhvvuTYPdoeF6iIpskqf94siMiCeS/XTH+69jEqeSJ0kd2EgJk -vtfMiLLJ0DuBI0+Vk0HxWnT3xzw2ESrfxwe3ZFDVFz7g/ThIOrRP7h2qS8ZM -V4mmStsgMTuzY5XX8hTwTG7kc8kZJO6bPt1aa5OCYdeTn1kRg8Q09pp9dnoK -Ulz2zZi4DHLxp4aj/ysF+8a+SXGODxKhux8+te1NRWWDzYWHmoOE/W4g4GR4 -KsQlgluPrBwkl2aTcvoGUmE6dsdsy7cBEpu387KlUhrspTf83EAbIPdXJeZ0 -3k7D8baQYvX0AbJEqjfApCYNcpNF0Vb+A+QQffgTZckr0LwTouPODhD1TeWc -LVavcCrwYPHsjgHSuMnB/tmrVyB8eT+uLh8gC5icW3++v8K8yd6jc+P9ZFZG -edUZ/deQOVzdklDZTyLFD+wtCXkNSelLDrZP+8lAsQbfst7X+H2OJrnTsZ8w -eb4dPqfwBrnrf/zYrNtPTLd/rim48QZcGP0avryfaL2K+D2f8gasYzPLG8b6 -yH2zsW+nRdIhMHn9DLW4j6hrDb/NPJmOlxofaHEP+8jBY0Eb+ZPTkSx3+rK+ -dR+pez1w3uJrOpZTGpTztvaR1zv6z2Xufosv/NvEvvP1kSk+f3nBwLdQm47c -JNDRS+IF+9KsOrh/WvBP+5HUXpKv3zeRL5OBWbpZfbhrL9lW5D+12CkD9yhv -TJcc7CWrHAaKL5Rm4LLFPz6rdb3E9jBbv1ooE5QYk4E7n3rIYufgYIljmfAO -jGLbVfQQWepolNvLTGTJsBdIhPaQGJsPDp0TmTA8J2OVat1DdFZT3aPXZcHw -qE3nP5UewhZ3TWrUz0LehyhXBZ4eolX6W/nXhSw8VGrbI0HrJnozZmIbQ7PQ -vJpHcySum8zRvews8rPgkrH5iKtTN3E09BUN7OXafzkW3q/TTYJPn1lXypsN -kd47c0sWdxP7hYsCJxSy8ePas5Dl/V3k44mwkxIm2TDKzjUcfdNFFPQ++h+5 -mY0FMU2b/Ny6iJv15MD96GxoJf9bIHCgixgedNmQT8lGj+4tcm11F4ngv3vy -3Vg2vlxVMmwc7SQWQfzuaxblwF1FJnlxXieJHRR5eFAtB673LTp073eSM7yR -QXctcjDhQO+1PtJJoifjXDM9csAYfJB/WaKTmL7ddJyTnIPN4/ft7Ln9zF9L -U3o5NQdzfmWjB0o6iGZoVY/BVA52F2juWhfQQWzz2u67iOXi5+2v53vNO8iC -VKs1aTq5kKFOnPOX7SDbHBxju+1zQclR1Jb6yiLM6d9LFwblgq6UzE6uYJHJ -wyI3d2Xn4pSWraXYQxYJvPOi5kpnLk6wrNNvW7BI7NVMvrg/uagViKVVy7OI -6jb9rTTZPLxqWNc4962d7Ko2N+Y1ygO/RN8Tmcp2Ui89fmLbtTzQBPo0NULa -CdX43xG7yDyIeq5LVz/dTkz2P9keUZaHmocvvksptpNDi9MX1w7lYULJevns -NJPUJexhfp+fjyCrswKUSiYp47f0k1fJx3PppObrIUyiqv5d4YR5PqTuKJxf -dppJwh9zavzd83HhVBlvugKTWPh+u1gUn4/KSrXmQ9MM4i2stPpDfT6UM4Kr -pikMskIqgLrmcz7SJJveJQYziHDjgkCjFQXQXvVhx6lTDGLNn3vYfUcBusPG -S1crMMg6huf6dJsChIS3Xur7Rie7t9381udXAFOxJ/vTKHRSsSGYvuhtAZRk -dY66B9NJ6qvmQh1mAZblNgaan6KTaYpKitOvAixu0PqqqUAnyZeKY15KFmKN -bYCv5DSN5KRceE7fWwhdr9L9opU0sunW7oR5joW4KUfXEgyhEcEOrWy18EIU -mjSa85+mkf2NJxrsiwqx4E9ivLAijXw0efHuyUAhhmyqNDePt5Hv5+eL1vMX -gUXn9TPzaSN2S6N0ZjYV4f0hwa0PJNqIhslhl42mRVjHaNNqKWoll9YrFZy6 -XQS3s2eiJc1ayTyPzX+DXhSB93f2Sc9PLYTnhplJeXUREmKbrn/xbyFnf8Wl -fP5QhCuH0t47yrQQ+WXLhKSWFOMU/8GSmTIqOVr+yslUsxgX6nLehZ+gkuI7 -LR33LYsh+ShPaf9UM9GqGt6Wd78YfNZyetLBzYQWNeE9mlYMITUBRWnFZuL1 -713jqrZiaIocY++rbiKG31j8ht+LETy+9FL4mSbu+RSou64tgVCrTi3fbCOR -9X1g8VqvBFkFPV+jnzQSFdnDN3odSuCVNPrlxNZGYnlY6L5ISAluPbUmO1oa -SKxwju/uvBKEhhra7LnYQGZMD9+72lOCzocvGc4CDeSC4uDlOJ5SGIQ6rKqL -ryfDD8+a0ORLwfP0hZKuTj3x8+iQ4zUuRWmi/jJ2Tx0Bj87U1hulSM4/3pR8 -u44sEX2WaxtVigxqt1nYijry983IxcekFEPvmzMSsmvJ3w7ZlTWjpdizQLm3 -26SWiD0yz59eWIYm1T9dmhM15FCnq5HctjLctNyVVvyghkSmP2o3P1kGo+Bx -Q1uFGjIjGm3md68M+6qFSlVrq8kt3sj6gqQyXPz7+O8Gu2oi5OWj+q6pDAU6 -ocvBW02yQ86FrP5aBlWfX7/uxVURl03anP2ry9HYysge2V1F4jXL1O/sLscF -GWr2rb5Kcv/Jm4g0u3KM3I+8puVeSdbsF+LtflAOrcmtnzeKVxKHg22uwlnl -OH8pdpNxMYWcT+D7p91Rjrtfe+XiT1KI9KGkR5fmykFdwCIHJwkJM8ze8lym -AqaHIrILVAjJf7qpu9mwAk9Tv0t5O1WQR9pLHs1drUDkul+LsjPLicwWWzPl -JxXY9yb2ju5kGbl4S0r2TGkF8s3GbLZuLSP2ogf/hXAqMLySVud9vfR/+TW3 -DFOnX2vllZCbO0f7JpUJQt49WGj8o5iEtRwbkD5GMPLDYrx6ezE5n6M6cdSN -YEKSui/GvYhMfbgj6POSIN6WLdVRUUjUbyor53PLuoZE3nGeV0g2mZrYjE0Q -7Nf4Ynx1XwG5QvnUFiRMgXzth5fUwHwS6zV+K2kdBS1X/ZwftuWRTY7rZcpV -KJDZVkversgjyzz92ln6FGwUTQlSPZVLzMvlgj+bUzApsJG14mUOmZb9fVDo -IgUOq0xiTo1lk4lcwaVS/1HwQnclh1c5m6ho8XVsD6UgWPa55OKbWeTt7TcR -pgkU2JbNpArcziS2t/4dupRPwS6O8xwRzCDHVP/9vd9AgVN+/K6DT9OJZ9rr -1897ufG1Ay6kKr4hI/28R/I+U3DCUc6zveQV8abxf6HyVuKjias3wziNWHrm -+o+uqMR8jvf1eE4KufJpkfhfhUqkyew11nNJJqWrV6Ss2lmJl8vLl+csTCK6 -/2qVVEwqsT7vY+23+ATCkyT7Zv/ZSizlp9sJaseTbwLKG2xuVoJnfk8PMz6O -KMoNRd7xr0TCOY/aXcwY8oR3D19YdCWMZcL99wtGk93PD1989bYSY7uXSU/v -eEokpoQaKymVOFT0L0zfOYJoCzrK9DAr0Rt2qmfbqzAS2ul+a2qsEmoRe6cf -1AYTifNaVQtmK1FflTX0dWcAeZ8dO192URXUMn94vMi/Tz6WZBvulKrCzZwt -z9accyMKXtfum6lVwVLg4Lp/TVdJLH9v/uV9VVDdtd8/N9uUHN77dcjbgiun -bs11FTuFHXtyhWMcq+Ajn28lc90Z21i3VfM8qpA27X0p/8R/WMh4YkUNrwKv -6iXB28+9Uae9KGwkmWuvbiYzJvQA50QGmuaKqrB6/p/5is4hGDowf+FKahVk -WGpWsR/DoD8VZKo8WAX/4ANrl72IgI/Axdi9U1UIe0t0vhx6ilTvuK9WAtUY -V4+s1/gdhTeOKgddxKpRL9uSP5oag3CK2JsQpWp8d7UX/nc0Dqc8Ti9N1alG -3dotHF3uXML36uddYlqNY1tOTWoeTYD/7k+TnfbV4LmpmXM2ORFTu3Zdmrxd -jdlPVfKVP5OA1JEP84OqEfL8n7H5gRQ4/TfmJB1bjan/JhQkolPhWaY3p51d -jb9hD/LWjqfhusOPkKM11aD0dHwz1n6NfXf5FS93VmPvifbRPP83+P3ncr33 -eDWche4HHmGl4/GHzVdi/lTD5uMgR4rLOxfq7l+Tv6QG4/M+f5S7mgl73tLm -FtkarFU43p5pmYWXygE+Y5o1SDy/SOQdl9dV1GTs+XegBv/IuhdFKdkoq9si -LGZVA3U1H/8NB3MgYZXRqnqtBl8lUrS3fMmBfTYz+IB3Dao4I9Ld4bl4VB27 -zzayBhXuurpymnlIeCz6y+1VDcYmiiKWdechUlo++XFZDRQ0TCTjuTzjxpUh -o/S2GkQc42HT1hdA7bbBeM1QDdYfbOuIJwXo1Dnk3f+9Bo1ipXwrzhbCrubX -yh/zaxFfXn1eYV4RaELGCYvX1SJY+8O/3oQiyAvvU1RQqcVrLsHduqcYZxpG -UqFfi5GCwHbF4WLc2LtR+qR5LXiKBJdU3i+Bi+fyiGsXauH+KM5rVroU1q6J -PA/ca7FB13wji1KKzard5+NDajFVpyBkal2GwaS8uuJ4rr8Nq9de/VMGl04N -KUZeLSYsZM9tfl6OqXrLG+P13O/PHxoN2l4BM5eNlHm9tbh3IPz5Q1YFooae -C679XItJvu/+W64T1Ijk7VPjrUO10Q7WdiEKWNPOngdX1EHeI4BXiYtrTdGs -XDuFOohLjjZ84uJU0t8BtvuOOkRtOqLkNUmBvVSIUIRxHbLjGxVHd1eCorZ7 -S7pNHS7J/DVcF1QJV8d9p2tu1OGdUfTyjV2VCGh6FdLnVwfZtbEBC+Wq8NnY -oWE6qg4rHwunVTtX4e2Pe/MXva1Dc06fs2lFFepqJk3kKHXQc1s9lregGupF -Zc93M+tw/UMx/+fj1fhNG/psPlYHS56alrmEaqwTtTO8+qsO/ERDj/O5GhHX -d6f5idTjmpyo9fMdNTj/23lxnGQ9otSOKCj51SDkFY974bZ6uH+YiXpEr8H/ -zKf14N0vXNjMrevQrc0O70/Ww+6Q170+h1osj2gc43Gsx71fNpNVObWI7aQ4 -rvGoxxmTNBGPP7Xw0F32a2t4PaaNTjCXGNahtLU8yCi5Hke+XDG4E14Hk/vV -G+yK6uGwc8I6v68O20/L1rg310NLhSHXIF+P/yz6L0YM1IPaJBmZda0ea+5+ -Xfn2az3WL2ZlXS2ph0iddUMtfwMUfn29NY+7Wqgreg2sbsB0kMvoZeMG/Kk3 -0v25qQFudbb/Xkc2YNazXmCJTgOq0gqqyWAD+n4bNCuaNkDcSIqkKzZChXUx -UM++Ac2VxvduOjdiWkRzz6nbDeCIgnd1SSMUE199vx7YgCPq0/sj5jWB/rQm -PuhFA+RVrhydMGrC+Ljf/qSsBpzieS0l+bgJ12Mmx8qqG/Au9XXO5t4mXEvn -9WR1NKBbzmn+Stlm9ImXLPv8oQFKbj+luy41o+TdmjjBPw1oT9rz71ZOM+Yv -l5aTXNIIdrLZy8+/mtEY05akJdsIk7sKAvp6VHz3kZM4otkIHiWifC2Aiuhm -mbALBxqxJVNc3LWNCu6Z/fG0bES58I4my1Ut0Li53D7KqRHxO9brrLVqweYO -wZrs+42Y0Ku5lZfYgmeR8eubnjQiSlLFWXG8Bdfzh68NpXHtmVaqt1VbUb6t -pXy2tBGCZ47kvnRphdviM/zL2xrRUCE4m1TWiteGkQZKQ42Y/O7J7zOvDQc4 -t+7t+d4IFz7C2G3YBsuuuezT85tg9Y5izwhuw4Cy0uCNtU2Iifcr0WO2gc7h -EXy4pQlSa+aP7xWhgSrG2Jyk1wRzK9Hc7i00nP45ZVF2jKvPKA63MqXB865d -cLtDEzwXCj2g36BB741s/YRbE9xv/XyiEUnDM081IYGQJiyaCCx+UESDz59w -4/XxTVBzqvzW0kPDfBn9aI28Jkz9jTaY94cG2c+6n4zrm7D/+ep0eUk6us6G -7jvf0wR1A61NO/Xo2HBXOeXepyYU//pVATs6BHXXijzlaQaj1PqSui8dHq9P -3slc3gyfwAub16TSEVA2Ol4v3wzKuRUCnxvo2OpSZcfWbsZLY7vpnHE6rnd+ -Hpo51AwxffNZBxEG9rEvXVhq0wzpPeOrFm1hIOeR5vTGG82oOSJjlHCYgaL3 -pn76fs3gufznsYIzA8ffl0mcjmoGK8x9OiacgYeht8tvpDdDtzbm8rw8BiwG -vGwfkmboCZz9dYLFQEl776JkRjM6TRtevPjBQMF1X1I+2gyhN4yTzNVM7Cu6 -d7tjphnUJZ6bfm1n4lpyvcaXhVRs9KYtEz3FhOoOm1khSSrWz6tZutKdiW+z -y4jUNiqqI7YcE4lhQtLx9V3tvVRs1R8R/FHGRH6wvObRk1RcWTC6kdHPla39 -31+6TMXVT6rlL/8yIT1EjfC+RwU+1lPOSrZjZsXPHTFhVPTyvVZfqduOnTMC -vXlJVOzR6hQrtWnHaNCvmy2FVNzyPXzFzKsdc230+WNNVDh9XrZtIL4d/9WE -RP7tp0LzurTD6ap2XLioKrH6KxUNS9xFmofaUV5REKfC3wK5JkkZZT4WXGqk -1xquboFurGialywLCW7Oj2w2tUAt2Ci2YQ8LOzjJ/+7sbsFUJI1/nj2Lm0/F -hbAjLXAvje9V9mEhmZQ1v7JrQetsucyhJBbctOIUq1xaMHFMrseyhoUi6/Me -PQ9aQG/s5LUZYcF++zLaVEwL/CxYUeb8HXCvTBBfmNUCQX6JhN0bOjA7u9pa -troFRxqzxcUMOjAy4vxiZ0cL7F894hu168AujyyW2YcW7EsqsUzy5to3MoUc -51rwuVhF/nhiBzbU9qr5iLbCfvyL9WxVB/ZpHLSOkWnFWY80hfChDrwfSArN -02jFyyrB5xLzOiFc9b6GatgK6bwFJXHSnUgfWPVv5HQrhszyfFbqdYKhrqLz -52orxuL5fnvYdOJWzdb7K++3Qil2Zt2gRyeeB0s0Kz9pRZ7h4/FtcZ3Qe/Rd -bF9aK+7FM+3cKzpxiVpw+UxpK4LSigOK+juxTN+22qW1FZ1Wey3G5zqx4+tP -yVBOKxwqXVhL1nVhpPu2V+p0K7SYxn+Udnbhf+6v26D7uIm281QX8k9omnWJ -t+Ep76fDuq5dGJ64WT2p3AZViXw37WddCC99sV1Yrw3zP8iYKhR2gVKdlSN9 -rA1ytppM4Y4uOAtkbN3h0AafB194ONNdiPd8kn/UrQ2y1iY96cu7cUzDQecy -F5cEh49aX9nWjQBZWar3yzZsXvknVMa0G9oHG8/E5LYh5PshR6pTN66mW/zI -q2uDlo/+9KWQbsjtbw9v6W6DTGW/wt/0bpxcq60+NtGGQ+kSQn7N3ViyMaDn -7782lO4VCJ833s3l2YpVQcI0yIXaZt6c34NfW5eIiS2nYWeg0L5++R581Jy7 -mrSOBj6tafNde3tgoTFRpypPg9sTxcEwux7oK7Mly1VoiE1O4vR79eCVRJfr -AW0aXBwuWEm97EGgcDt3PqNhrufGUYuKHnz4xFS1PUSDGk89JaCvB1XNndx5 -jQaxzrNpGbM9EEsc/OhmTUOmtYFws1gvxm98NBK6SMOPqKvD/Zq90NT5/frx -dRrGfNma7471Yo5XVETqPy4Oy0bzvbveCz0ifzXdl4b2688P9D/qBZ+LPn17 -KA3dN0bmN2Vw9fK2GrXPaAiRv6X3ltqL2TbfaNMEGqYCjb/5jfdC5cZbnoE3 -NAjGX5E4Mb+P23e7HS7l09B0gVW1Xr4Pi1Pm035U0KAz5MPu2dOHIo1d2t4N -NNgu+u92yNk+9FXcSBRl0KA+XuK/3aMP9/QyF8f00lB6R29pd0wfoss/uymO -0jBeJLriWkkftqlte5/3mdtnXss9+tPZhwMJrsf1ZmiwMfH29frehy0rEkpa -eOmIaXUIn1vWD63wAOFTC+m4dXyZuZNqP+zWKe8ZW0HH5Oj9lm7jfuTmhDpc -l6Bj4d3i3zsv90POPMvlrwIdVWsLBp8E9KOCL/Ra4FY6JKruuL5L7odrhZL5 -qp10rL7G26ha3Y8Tvn4bEgzoyJQ70urM7sepk3EDyiZ0vB+yf/D6Tz+8tFy8 -i0/QUZ2K2R7xATTJLFy+9ywd2jfZUnzbB7Bd/Eww7RIdRw33/5ExH0Dteqep -0zfp4NlwM3TH9QG4K+vov7tLxykhh84DoQMwO9D833V/OkynJFlH0gdg4rwq -4c8jOhd3YgMONw7AKVkyJyCam+/g8Ne9YwPIGR1LX55Exw/25yXqfINYs80x -PPYtHY7jZHSN1CCSAzNtNxbS4fP7+LWfuwZx7EvW+jwKHbtW5GVRLQahYHOt -WqeJjgj1zpQol0Gs7/9k1sjk9klLytEzjwehdX5jm1k/HVIPnfLEswZx87eM -xsAYHcerR5pbqYNgxfT4XpikY9M8qSi3D4PYkRC69Nsv7nltvEkR5WPD085L -8R4fAyybeTetl7KRws5sEl7EgG/KDod0STay1qydebKKgerZddE/lNmIWVyd -JSPFQIjVKxGdXWxcJKnTmRsZGG0drLhvxIbY5sbq3WoMNJpQsmpOsvHmmIIE -dRcDO/qNhvgc2JDQruI7vY8BTTcPM91bbNzqjro5zu3TFLkz/G7eXHuNjGtu -Ftx8Bsems8LYqDH+93OBHQO309bIjcaxUSYdvCDGkYGXHj8iVmWwEZ19Ik3Z -hYHT5+4a7C1j4+SfM3TiwUC8RYaacxMbM/yJvkcfMOBi+cA2uouN/xpka0e5 -fZ91ZTGdMsbG4H5OqGsMN37wbo+RaTbkvXtHF6UwoF226ooAHweH3ZbVJ2Qy -oPsrKlp2KQenlP3UthczwDCoF4IkB/tiNJVaqxj4Ehubd0KZgxX1shn2VAai -haTir+zkoO6tcdlvLo9g3DvY4nmAg+NH8swfDzIQwS+tHXaCg+aME25KHxgY -efZyJPYcBzY5G/dXTTHwNHfQIfUGN77Bum7zOQY8qd9OpXlxEGOvojomwET8 -cF95fCg3/nK7QzdEmfg9E/3o8QsODh4pVP4jxsQDkS3Mu284yFy7ud1bhgkz -iUhfm2IOnG5VQmgzV97CTN1Zz8FDq9vX/DWYCNo1qi3K4kCg3fg8P5iYNaTu -7B3ioK/LQPqeIRMJZgFv4yY5WOxoGT9tyoSX5ZpQy78cxAZHjDmcZuKpnQd7 -qcjQ/94fMMG+UBJP1gyB5n6eYnCVifOOTYxzCkO4bchjnnGbiQ1XM2/wawzB -LbEkY4UXE+JXL/o81x9C98MnLbcDubzKcYZf6cgQIvnDsjsfM5F+wfJ7jtUQ -Mha8PaX+gglDuyfmapeHIBv3oSE4hYm1loly6XeG8KNy39xwJhOKZn7n1vsN -Qf5GzbRmMROOhjor/R8PISvHNsevionRnc3qH14OIcpTWoPZzMQzZeWaPRlD -GOjjubuOxYT3evvqyNIheNbzBNoOMJG08Nq2oYYh2Dt9vJT1jonNlmk6Gh1D -uMIXtGXpVyb237BfGTc8hOfeg+0+s0x8P5JUIPl1CF++vLdayN8O9e8OMoV/ -h3DRMLUxaVE7hGwyT54TGcaCYHEx09XtuBrmZrFJfBgt5foHlki349yDxg2C -isMo7pG0GtrUjqn9MSUzGsNoHM42q1dvx7KWKfF5BsP42zWzmei0o1KsZa/M -0WFYlEy/bzRsh6CS0k4Lm2H0+if7vzvajn6+Rb9Trg5z8X2hsJhVO3QTr/su -vDsMo3dyV047tENH2LLHL2gYu12/52Y7t6NVo/GXWPQwjv107Rf/rx2TiiXD -lWnDCD+b+yHSrx3JQ1ueeRUO43thfJdcWDu+2CqsPlk3jP9mDV7XP29Hw6tE -m72sYWze+OLMfynt2F6YePPAyDB4DF5/35PdDu0gBTP7b8P4ZeToJFHWDqqc -ytyTeSMQ0xluXljP3a9fidPA0hFYrRddKMJox9uMxre7pUdQ9/7LZsn+dvyM -sSzIVR3B8Ze+W/e+b+fy8xsPdHVHoLvHMXL3t3Z0aTT9Djo8Au4I013/px0B -2euHdp8ZQakhz+ywEAvvaq7sOu44ApM3b2fClrLw06lkAct1BI4z+1l0cRYK -c/iMS/xGsEa1LTSRy3Plgg/wCT4egdnRPYpCm1kw+BekXBQ7grXWSbFzaiws -E25upr0agdPxqSnvnSw8ei04cDhvBKbbleVe6LNQPqxjr1Exgkp+cw3DAyw8 -z7txxqt+BBWlF2V9D3P9r0+mqtFGsM/q8hczcxZs1jMzDnWN4P8AxmtCDg== - +1:eJw9eHc0F270v6KUhLa0rLSICC29IklESYpUhJCEUsqoiCiUhnwyy4woe4/n +jbe93svee89SEvr6/b6/83v+eJ7zOvc+995z7zl3CRlZX7i5lI2NTWHx+j/v +/z/HWhTD09NJQ+Kq5Q+PyuPJu8OlhjpZRM7uRlXuJlV8t7B6myWaS6puv7Rm +T78ARaNczYa1FOK3wH1VnaaHhXOSdkJx+YSVue1Sc6whgha4yaHrheTyTa6E +sCgTLLO6tGf7niIy4FKZ7dhgDuWQVal07hLixmtib6p4B7o+4kbaK8vIdg/z ++4kTNlBRzJQK315B5vJWPj901RbLUhIF889WEbP4D1aq3Q/wqX+D9Lf3NURX +l/tA5/NH4By7l5T8h0bKMu9ULlV2RGTpm5bfsgxyd/19Ye/VTyCsfM7qzl0m +ecKy5Np/yxkTgv55bSEskn20RutwmQvWG1hVqefVkl7c6O8Xc4XrQnVASk0d +Wd4pXHH4mRtO/8sS28ysJ5t3rJ/f3/wc125I37UvbSACswcflxzwABGVdaiN +byRr7j1XZ3d/AbszhYoSL5vIjPsy8676l3CqaSlxvthM6IdSGSa7vMBMc+Gm +rWkhQR6+Xp73veH0L5F3S34LuW4b/k6bvMLDmDv0G0athGuus59w+oCSkqIV +Md1Kavmii/rF3kBfyONNl0MbEZyyO55f+gaq4z2vtk61kb7Qa9ctb72F+/bq +Mxf024mg4NWdgyveYW28EtU1rZ3UWd57L//lHezPhz5pWNJBlr/4HH1Z+T3W +zprOWgp1kO93+0zOdLyH9sV7Ov8OdxDGHuVSbkdfHDMo9PFR7yDmCZmM0PUf +UCVwJWbbpQ7itOy024pvH8DpIRcWo9dBuPaMtJxQ9sNQuK6DzCJ99ZaYFrUm +P9jdpRzIXvzv3fzYVcz6P8SM3yk9caSDlFLsR93YP+KGrEeg3s4OslYoTm7T +x49w0JNeEcjXQQzm1hu0ivujL71tK9dMO0m7kGJan++PAHunq5I17YRX1FNj +2eUAcM8o1ERGtRM7G791ZiMBUJQReXDnSTtpk2/MmHMJhOw+cU33S+3kosMF +heJNQRhp170ysb+dVMovDS38FoQbWjH+sZztRMtmoPvnyWD4P93GndHRRhpE +li2/0hQMH4vUxA1ZbeT2BV3OHzYhUF1715P6vo2s/L/x+QSK3Xk/6p02Ei0Y +9oUS8gmz/2nXblBtI7sNz4bPCnzGzwcOpzOE24jzqFHXd9PPSOArGomdbyVX +M9aveZz0GeLmMkUTDa0ki3JD8ubCZ5g7FdHcU1pJyHI1BTO1UJhqOPJavWkl +HJ5VR1z9QiHafNElyrKVTKiN7MrsCkX4zgtiUmdaieGZKI6VkmHoErdd4BJr +Jeef/6XdcwxDz0T6Snn2VlK2MOz9qyQMEXcFVVM6WkhB6sNDvuvDIRofl/ks +r4Uofg1hqt8Ih3nM1ethQS1E5+1fCZ7v4RD5bGhn59BC+AX3bKqcDcdq5oCi +0eUW8vLSLp/npyOwV2maclOmhUQc/PXhiG8EHo0+/+HM00Kssz7sG+6IwAwr +oDF5oJl09S87/59EJCJnZGwX8psJZ64KOxwi4aarRTEMaCZ1h3VPdRVH4sPP +KUrT3Waiff3IRpd1Uaiv5H9gqdpMHPeOPthiGAWVzoKWDdubiVaIjUliXBSG +D0z+rptqWsw/1E6lP1HIywsvji9uIpyPB0drTn0BxaNJ9bN/E+nob3uh++4L +fnp/eBJ9u4lYzEYktbR9wZVqllnpsSYSknL09tV90RhX/8jBtrqJPNsYnlT/ +MBppyzounmtpJHyCzS80qdGI/xurm/a1kZyld49S+GJQJzHHK/uokRzcm9u5 +/1oMpIJoDhXKjaRsr5nJx5gYZKjs8bfnayRczM4H89MxuC+x/DaaG8issMTG +60pfcf282cTWyAbiJ3DmVNbrr3CIP7tnrVUDacuUZV/b/BWlamkbdsg1ECbb +j3M3d8VCWTgi9eR8PdE6NEZNs43FiNaQTENhPZGP8f27ghKLpOmr2Qc968kz +7b4f+txx+G/1nLre+XpyUL77W7xuHIL9S3pPbawn6he99nBExoHqT17MNteR +4q9tpnqTceDi6Tn4MLSOfD3SejNe4Rtsfx0cyjatI1PsHmLLPb/h74Wk6NJ9 +dSR0eUv0tbpvCBO5ahM8UUtSlVpGUoW/w8JcWvFIai2RzvCY4rH+Dm0h2S2f +7GvJRrO2TPPs77iiabJQoVBLjM51KBVyxsN5nAxRltQSnruvXm2/GI9yDtWO +x0UsIlLZ6+/wOR4yr+falr5kkSDDQbP6kXjkebX2nTvLIsc3VToGbE2A2fzQ +bxNeFukQsI8oU0qAdLfoWiUGk8hn/5X4Y56ArQpesv2+TKI4o82/xycBYqu2 +G128zCRzdBdjvdQEaGm0/vdyM5NYqj7n9WxOQBB7WZ17M4O80r++NXtJIlbs +79x+NphBTFat9hzZlQjfyp02jdcZZPjyW93tmolQbPcr3y/IILsUhz3O30/E +atOD+8920omDwUTbs4BERErbsSuG0Ymqup1oKiURyRtZSVuN6cSX47Fuf18i +jqzVPNYuQid6XhyOm1cn4dDOnk8vemgkpJ3bW10mCbHn/Fr5I2nk+hI/r8d6 +SfB7azLtc5NGAiY+2cc/TcKvIc3+0Z00ovVt76XOyCQEpX81vVlZQzzk5YTW +VSZBoXQ8KedsDZHzKWhSnkpCCeed5M7KamKUUvPMjj8ZqnbC5qyz1YTry7XN +0ceTEb+Oj+5bWUWkzSxDGk2SwdYhOya8WH+ZP/+uWeWVjOPN78mTikoycY77 +/rHEZNgt23sqWq2SeD4Kpt6pT8aH6zNPPpVVkBCrePZP88kI7VuwNFGtIFLS +SgdoIikIDlbg/V1cTo4V6mgsUUuBt2vKzcunykmJ0NBlaZsUmHw0tnleWEYq +Nf6dN/ZLwe4WNUkXxTKiefrDId+cFLRoWoSpkVJylieOp6grBU4T+WWdx0pJ +cdhJ5vSKVPDla0WqZJWQHI6r7mKSqfCmbJa1ly8hUgend13WScXM2GZ7+5Ri +8u59J9XDMRX3nWzSjQ4UE73nP25lhKZC1P+o3PnvRcR15b5NgyWpWHXKcVpx +XxFZL/iicvNYKoScJaflo6lkZRmXp9r6NNiq6cpK76QSA47kc45H0jATPp0q +FVZItjKct8UZpiHVm/OhzI5CoiB9/0eLexo+c7x+dCSogOSJvqKv/paGilUv +s05tLiBfYirSjzPTIBg2c0zHL5/8pEhGWf9JQ2JJyz/zdfkk0iIz6POOdNx1 +kmV3eUMhSVHmgfRT6XCmLD0dvJpC9j5QCFtqmQ7LXS/9HDcQsrxOPlHmXTpk +R6Z3FPHmkdNll0tNMtJhUKO+dxNXLhnWDO7/0JaOBZpn6l2OHDJtuoK3hCMD +nJNJ+ayFLGK8xv/4zN4M2EhSNU/8ySSymufs9mhlQMk772rKjwxisW1f2pWH +GfDiDBuWGksnS5+KL3gFZ+BMqNXf1IE0wmarrZlbmIGreqLeJ7tTyY0/n6LG +BjPQI1kY3NiaQsTWruUU5MtEnai61KOGZHIhN8ZaSy4Tuw/lnNzBTCKZj6rq +nl3NhM6eEcHBqkQiX9AtnfIsE8XpCXwTpQmE5j/i2hudibTidWLmL+KJy7/+ +so01mTDRHT9n8fQbUf1Ry6E6nQk30Z8hfXaxi/5JO2i/JQts+4TXtVrFEJHn +L/W+KmaB3dI+TsPsC5EUOWfbbJYFr/4/FocMI8nVc5zPuF9nQT84XDNYL5yE +rEx6rpCShYBXdpedtEPJjNa5J1ZNWaDa9HLFBoYQ893ttz+xZePAUalErbcB +pNv7hiZNLBtLnapCRzz8iPvTup1LNLLBJZXb4vj0HQHb8akDttl4n7RQuDDu +Tfh4PyYb+Wdj39gu47EuN7IQ23PrPckG/64RiVfx9mShTmQDtTcbuq8iHm87 +Ykz43+ik/lyVA+ctv7c4FOvhbL292k7pHLxY4hbu4GQHv7g3LB3dHCzIGFYU +KLpihjdA2/1JDh491kswOOmFB0v8StIickAZu8TmGfoWnC5uUv3lOUiP2d9m +/fkDEl/ffL1pMgfh48l3b4T4w27v4c7Tm3KxJL8v63pgMELlcg4+UsjFr6xA +Xf+Kz3j2IdY32jgX72VWibFKw7D5NOeSxpe5uF2eX2RQFAEz9Rr7lQmL+CmR +P5YfBdMw9n+H63Jx8QKH062caAidjXhjMZeLqJNv3vWnfcVb1cT9gcJ52KJt +7JiWEIfU//Y2VqjmIcL5sVxdzHe8Ocz3Zs4qD3tNx2+IaCVAeL+RtsSHPAgF +Fq7h0EjErQeCItezF7FB9t5Xqkkw4VX/97ozD+JJdXF5J5Pxv/31Yhh8N3z8 +eDwF94/2tkxIEJxa9mhE4HAq3lZdbBO6SOC5bCHmlEwaTJOkRi44EIz6fqHx +S6RjavDRcrfPBHeS7xv6imXg4H0JidRighnDm3oZOzKxV0vTsG+EwC/YPtuN +Pwt3KKM1XispuHgr0f0PXzZCXIYeRGylgIfKnb1xZQ72Wm4TzpWkoO+bl24L +Wy7WOruzapUoaBPbb6A2kwud3J2vxnQo6Nj/s9pwPA8/Rf6qc96iYKGo9cuO +PoKR5OVrBJ0oWGc3ff+kFQWS8ux1h3woGHDfHao6SMG3h7G+WmEU5FQIx281 +yYfRg39nLVIpeKzcE0La8nFR6t/Cs1IKFCctbQ7oFcA5+uvXwGYKZuk5IveY +BehpXXI+ZYyC6D5mhotGIVxpHOOVS/JxSTJJ0rCkEFedkz161+djJvbyi9WK +VNwZXS2wsCsf3lepBS+zqMjetD5q49F8iCjOtLFkinDiX9E+Sc18fNP70TIW +VwS2CJHY0zfyIR2VmlO/sxg/lkmIGt7Ph7MYnviEFGP3zi6/Rx6L8pt8hDZu +KsGHJSfZ3wbkw7TwS9RtnxIoBJ67FfMtHxXt7qvfcJZi+xRnWT4lHxJSEpdc +n5bi8HJL4SbmoryUAOdTv0vhU+/4YKovHwzLGu86qzJsN5Uv4JrNh4BehYNc +XxkGEkNWiKwuwBX712o3r5VjOCtR9ahgAT5W8c8Zscqxy8XmmbZMAUp1LHwk +1SsQwtGcelulAGNrXDkq8itw7tRkl+uiH9cvNdaXPVSJIyeTVwZZFkBSjMvX +6nslpGsfSqU8LUDr011DdqJVWMX4cK3yXQG+81n4awZUofjw6rc9kQWIbKCH +TvNW4yZ3W/lcRgEq6q+zWz6vRteZFas2VBaAh2dNXuJsNZSmvLQk2gtw33GA +XmxdA7dlt0JOTRVglWDv8dieGnxx/TR5bVkhuh67PosXpyHWUlLdjr8QFzl3 +rZ00peEdhT/29b5C6Ipf0xQIpeHKU/01X44XYmxkp/y+ZhrYY34/JlqFEDjj +UimygQ4PhdGJepNCUE5Y83Cco2Pq2DGLiYeFWMcan6t4QQe+9Ayu8CrE9FL2 +j44FdFg79VkLhRTCqiq6fe0cHc45inOHEwthL9PJfCvLwD2zX68vUAuxSTbu +/owVAyqPOXbfri+EOmNlgUo0A3/nb5e4DhWCb9VstlMnA+8Hxe8EzRfCot3J +KECAiVUnTm9O5aNC+9y71E/aTJgsya6oEqGCceVIqrc3E58lXrj1yVHRt+TB +jRtFTORRv5/8d4YKb2WlrG0LTOQU71/Jf40KqnAYyZdjYfu179VSNlTQ41ql +Na1ZMElkvjrjSkX/lEJt4RcW3hSGqBj5UbFquiBKuIOFsPe8fxxiqDiZbPnF +gr8WfkJike9zqHCTOFofeL4Wtne61OJqqKg33i+X9qIWMg+Vh6hdVMheUqFm +UmpRf/ysa+s0FWEcri5RM7Uwpv7Z8GtFEXZY99xxkqoDjVMjjGdrESI+WLw8 +al4HsZUqu3dJFuH4ow2s7k91uF7a8wVKReje2KvxoL4Otqf2COnqFOHp3cZf +4zz1sHNe52tjvsj/YqpaW6UeBvbhbC8di8CnL1X3+XE9xKUaTUNfF2Fs8B13 +fUo92iNSijNDi9B6UODer+HF//WygoyUItQdLV7+T7gBUyVXbYdKitCx4Fc+ +odcAbbs9lKXNRfj12Du34k0D/LsCl28ZK4JAZlS7T0kDqNwpKjJLiqGW0Cul +sNCA2p93ndXXF+OV8ZlE5sFGlAfUJhvvKkYrg26ofbsREQttHY5HinFo4Qly +QhthIvia01ejGJG95zV4GhpBkVHYH2dYjEvCHN1qPE2wt1TRp9oWw0tDR89W +uQkvymNet7gXQ9lMOcHDoQljGmalP/2L8dCa3u+R0IRvv56sWP2tGFKmc/9s ++5pQTJ3Q3EkphoV60Yz61mYczMgJVGAWQ2yHOIP3QjP+0rrGdPqKcb1Typt4 +NGMrr7Gq1Z9i8L9jiunnNsP3nkK0O3cJLh1YH9Y11QzTv3d5Pu0owSYyNa+z +uwWvY9gc06VLoHPU+kjqtRb873xaAraol5fZ3reg64G42YBuCU79U9SRL23B +Ot+yPjbLEiw//VHmynwLQuoplpuflkDjsfeUuXQrnp5Y++fAuxLwhm1/Z2zW +iuzqXC+1yBLopmquVQtqheazQlHjjBIIpW+13UpvxSF9EapjRQnMv7xIaVrW +Bie91lu+bSU46P6uzu1IGzY/ntzwbbIEztpHGgWs28BdbFBaxFGKs7zOOUHh +bdA7uNulbVMpgjKNnbka2jBfonbi995SmF/oFTXhbsesc8kyvuOlyGjk+Bpz +oh0tf5UrdmuVonTXpFPL/XZI1t7yVDQpBX19I9dCdDt+csudvPKwFHWfc7R5 +WtuxOzxm+p5nKYZ7q2LdV3SA/h811Ct4Easd9Xos3IGhIffTEQmlMH0qVnr7 +WAfuBU305RSWwsDVx0jrUgds4pY419aVouGS3ZX9Nh1oEchaOzZYiuo/TfFL +PTuQ1b/50/L5UsAy16g6vAMr1gnt3MFXhv3ft9m+ze1AWVBNhLxIGYLJ3w71 ++g5Mu+3cfl6uDM6fL8fNT3QgoEL4rfmZMgydP1AbxdWJBJuSeeerZWDSXuif +Fu2E7P11Jv7WZZAXvI52hU6I1y2nJj4rgwASna0ud+KjX+i28g9lcJJ4tmPa +phP3UrttuqLLoD9M22Lr2Ylc6arc2ewypD8KfjAQ3gkHnusc62rK8Ka2X1wn +txNfVf2U93Ut1qUlCYqZdZ040/ngycnpMuTNTyevn+jE1Ya5RP0V5Vhblulq +trILbRL72m23lKPL+G9ConAX6J1sy733l0OqOuvoj6NdqORniEcolkPn6clp +cZ0u6P+e0su5WI7wsY7vBlZdcH5s/IplVo5tW9+be3l0QTFWpGTEoRzFnFeE +Ez534aOzDOey1+UITJRtqcrsgtv8O41toeUI2Czm18PowgphpQDZlEV+7Dv/ +c7gLImMnRjVKyrFV9BTXPEc3Gm74qJg2lSOs2Ja6sK0boo8lop6MlkNrV/qT +P3LdWH5iC/d/bBWQUOE9PHquG0+/6j6KX1cBWRGnH43m3XiR0ztUIlYBw7z5 +b8SlGwfsCow7DleAssnXPCSgG/fqx7pmzlZAQxIidsndUOmwMF9jWIGly/61 +qlR2I+mN3M89thXo+cj8yNfbjYwBLXcl9wpMD+VoM+e7cWkgZ7u+fwWk/2bx ++GzsgbfPw1zbuAoElVWVnZTsgV6bi5E3qYDUpWm3ydM9yGI1r45kVGD884ET +Hw17kHbvOcntrUBrzLO/8vY9UMl48rBupgI/bQbSaG97YBNZIju+arEv+GV0 +z+hrD6SOGM5y7qjE18NTEqMFPfgxu5YISlfiLrtz6N3mHuyw/Pr48KlKpG35 +5Tbxowepr8TkLuhWwunZxYZb3L1INfAYsLhdiVz5wMBW0V4IdVX6uj6pxLOj +5dXqCr2YWf/7SNDbShS/6rybotOLozPLmlMiKvFyf6fbJqte9Hr9uV+VXomK +bWWcD9x7MVdDX9FXXgkvff/ZypBeOFFf+y20VqKyX+vajvRemN+S2r5pcpFe +MCVpWdOL3Ly0T5IcVSgfdryX3N8LO6rQFtVNVXA2HhWd/teLMIe7bwz3VoHs +OX36AH8fjnRG/nukUIUnx182mkn1LdqTZ/72fBWyQ5ObP6r2IZLkVMQYV8FO +u1iDatgHB/lPuwvsqpConS859KgPGQamT5teVuFWWIQL19s+mBxaS5sKqkIE +bE7ujOmDY36YwKqEKhjsE31wJL8Ps7ObDEQKq/DxJmWjWmMfenruBh+tq8L5 +MeXdFyf7cOxpQq32YBVeFiXG6K7sx2wZk9NyrgoYXh54WagfokXNMm6LfZid +gfLc+cP9UJFVNwgSrobFau3wU1r9GGiL8EmRrYZuxxZTuVv9WFkwQK1UrYZi +Q4iyiEs/4to2/uvRr4bIdPNxbv9+MA5KHp+3qsZSeebFyYR+PKAeeLbhWTWa +gt3cGaX9CHy1vULiQzXi9kww4zv6ofhmml8luhqOTRsUXs70w6Iy7fb17Goo +J0znX+cbwFolo0K76mosi/Uxkto9gCOTv3f4dFYjv7x7+wIG0NP40OXLz2o8 +5J36VXJ5AP+7v66BoH3W0CvrAaReltNuEKhBNafC7DmPAXSP3C+ckKiBXbb9 +Tp5PA3iXHXxopWINNvnaWJamDYBSmJAkdLEGKW+Fap5UD+Dusu8HjpjVQD3F +W+NA3wBCnT+kXnCoWawDif3t8wO4KGt2/ParGtwyfxvsuWEQL0REKl0/12Dy +z17rAxKDOKxedj0ouQbWCU+uspQHYRWn9yuluAYjXt43ba8OYudp1ruqxhoY +eV/05Lk/CN0thw/2jdSAkVhXHuk1CL49L5oW/tVAYW7trsPhg3AT213gtZKG +lC791WVZg/hzgI+ffx0Nx1vYR3QYgxiWm7OK2EpD+wBXYfvgIPRkR4qlxGj4 +b8Xdd6ZLhqAk0bEjV5IGk6NyV4b4hxCzvcH+zGEazrpcEbgtNQTPlazF+YyG +002tjIHTQxgcZUoZnaVBX4XiamwwhIKK+sV5jQbXoiUSzXZD4A9vH3YwoIF6 +Oaz63OshDNkOq3HeomHLfKh5fuQQ5I7//fr+Hg3PU9n+SuYOYW4JL7egEw1s +LnnPA1lDUCRiVnHPaQg0aF7BMTIEdjsl+iEfGtS1LrvdYh+GopiRbNFHGjZc +kp6pEBjGbM3zAK0wGqbuWJrskx6GpO03trZYGvo+LivzODOMTt5GM4tUGn7U +ze/sNBwGT9QK2q88Gjbu1nGUfzSMDNljh11LadD0XlXm6TOMljzbcF4GDcHs +QrzNUcN4ohjPE7Q4Fyx7/UFjd94wAnLHHHb30vB03y23e7XDkJaRHkgZo2FN +S2By5sgwzoTZX1KcoSHvk0TzPPsI9q8Py6paQkdarFswtoxA/t2LlVdW0SEp +yxn8VHoExlslTvatp0PiWGpj7pkRJCf5mN3bTkc85bX2rOEIduok2C3soiOx +4D2X7KMR5LH72HgeoOOIEnXhjs8I7PP26Ww8SocyBKUio0Zw+bm7aJgyHfTM +LyFNuSO4ovupTUKTjq7EK2o8tSNwkbdzzbxMx6Ndh2VOjIygXHjVulM36PAR +OKlnwz6KQwLXX9Es6Njr9SgnRGAURdusp/Tv03HCvvFqxYFROEocV+p/vCiv +5+aRX6qj0D5T4XTPg44V1dt0dhiOQvPuxrD5N3TEHVzyTeXhKKwjdyS9CKCj +kZ9PyfL1KJJ6++LWRdDxwkFt/ZvIUWyWtnwX8o2OLL0EkaScUUR6xhvtSafD +Kk3RhsEcxcXxhG0pFDqi3yz8mRgaxS5Dm8Lj5XSYDvRRVi8dw7bWUe0yJh1h +ubPU3ZvHIG+6p0a7dZHOd2S5ktQY7v8Vlm3rW7SnI8JN7/QYaoOanptP0GG7 +/+gJ6+tjOBLms+bHHzqaWAPv3R6MLeZxl91P2BmY+u7fFOA9hqiO+PKVqxnI +ydFYnxQ+hoTNW2Y+bGQACxyK5VljCOIpTBAWZMDOlhh208dwi3z5Gb+HAcOt +j23nB8bAL15WqCDDwL8ZBXt+tnHEXty1vfIYAxdXLr13cNM4th8uYNdXYUBf +o+ya1v5xPGj0vz90joEtRe+PWZ9a5Jf9buOgx4CnzQ2e11fHQdX495vLmIHv +56SZ32zHkSP0iivIkoGnRsu9qj3HEZB4OVrCjgHemFbZidBx6M5fp5OnDJwX +yWCuzRzHDEf48wsvGVClfzCRo43DqVSkqPcdAz+T7Aau9I+j/XSnj30QA1ql +VwycF8Yh5trcuzqKgeQVoSZMrgmcc1hbEhbPAP/pjevMNk7gioS7zKFMBi7v +WH30r9AEVILk9lUXMGD99nmLj8QE1peIfDepZMAo+Olv0cMTKP6mkfO3lgGp +k3+9spQncOl8is77dgbqns34nz8/gYrvlx32DTKge/WhUL/+BAyT9pwumGLA +wzTDzNFsUb/y1kadOQakD80lctlOIMhEUqpvGRNX6EpsH54s6l9nfNaWl4kl +0t4XBDwnoH4+XWKenwnRKw3RAR8mEL9FnOUqzETJmV3sG0MnYP0gH5ziTPSz +2d/wjpuA97WHNh6yTLg9rSqcT5/AMpaGKQeY+FQkuteicAItDcpCT1SZOMR8 +4kuvngCP5dXQn1pMaEY3Lz3YNIGQV759ZvpMdCkfffC2d+L/7Q+YGI8OHh6c +mADN0ZSibMXEU9ZSU4W5CTxUZdP5/pCJVyUWPV6ck3AIz/q+3oWJzc9qTWvX +TqLR+0PVQ08mhDhOjm7ePgk/jreJ9e+ZiNZIfqi3ZxLfub5dORjMROx1seW+ +Bych8mmw9FUUE+LyQR/LMIlf+Spz3fGLuH695KzaJMRsqT/lMpmIOf6mbOel +SSQkGSW5FzARdofH/OyNSfg7C8kyK5jYauLDZWU5ibYWtsdba5lYK7wu0fPh +JJxL2DyN2hb988VfP+zZJEyshy0S+pkQDkgRpb6axB12r/1rJpn4+Gla7N/H +SQS6trPcZhf9kX7VSDtiEuPjA9dWcbCwtHuwjhI/iVuqX8oiVrMwKxjsdjp7 +ElyvBPi1NrFQetfudn/xJKpylc7wCbFgUfvA6zNjEplNO6517WWhVz2w517b +JMq6E7VLDrIgV9tnd31oEgsNM+LkOAtX7uoqGf+ahF7Wz4EyVRb0BCdUXJZO +odkj0qP/AgviXd/cMnimFvP7qpX811igpb39u2LLFNT6d97RN2PhfEhg7L1d +U1Cwn05OvMvCl48VvtMyU7j4275VwIkFZqRQ+tsTU3h3I3nQz52FuqLgtac1 +pjCdHtqw8y0LCTP4suHKFJxmlb+WBLJgcHzFg3nTKYjvCb7uFLVov98vxwXb +KbApf50+mciC0tJVOfwuU/ijZmm9PYeF+86nZM++ngL/8e6KVSUsOK2J6v8Y +OIVr23hXcTNYuJoqzlyInkLxwLj4jlYW+Cwbfz9Om8Klz88PnBpgIUg2Tmcj +dQonTlr6KfxgQb6hTeQRfQoZNDSWzLOwUSDWX7ttCtmqbLPdnLU49JcvPXpo +Cpqx32berqlFmCOf08NfU7CcOV1LF6iFVmDMAFnyA5ulanzCRWpx6krLnAv3 +D2hfOLmbU7wWTzKiM3I3/sAWg4iQOZlazKXybLUV/AHrS1NTrkdrQbnAsyts +zw9oHZLYGaxUi7LXXxrVpH8gn0NHVvVMLTZZNMncOfIDedm3RJ6fq0VMT5Ts +SqUfULl2e1xbpxbOC9zt28/8wP8AOIVXiA== "]]}, { Hue[0.6142719099991583, 0.6, 0.6], Directive[ @@ -368627,201 +368628,201 @@ z7txxqt+BBWlF2V9D3P9r0+mqtFGsM/q8hczcxZs1jMzDnWN4P8AxmtCDg== AbsoluteThickness[1.6], Thickness[0.01]], LineBox[CompressedData[" -1:eJw1mHc8V2/4/4lIVknRlKQh0jATLyspRdLQMMInikpGIiKzEkVIki0jsvc4 -xpu38cZ7mdmbaEhJGT+/7/f3O3+c87ge131fr+s+53Gf63reomb3zv+3ioWF -RXnl9n+f///y4LLYsCG2Gh1Z3BxOSvLIFxVaRZapgtyDG01lQtpQEwmtf5xe -gSbrZ/fYCs5Dn72U4bCpHGFLPNd1qFdADxmq+fmuGMyi7Zc+fzTFz28xt1y+ -5OPyf2sz4z5YoHPjbPma1TkYf0IpedRhhV6eYn9lWjq8+S2cb6rdwWOvgoWH -konY4WflkPXdFoU7KmP95MOxUM7lo3DdHv0+KWrf/zjAMiP0rvaQI0zNJBwH -xAMIQ0OewwM+D+FLiTJZfh9L1BfdoazSfITZ2+SlB8ZpxH1Bh10veB/jTFvq -8bsBOcRjps3ag7c8wPHftG9YViFRotSir1j/BIU3DhjnvisjRnBjbGyPF3be -PDIwJF5JcAzsalT09IYJnXJl3LSa2CwiuHjwsw9e/xoOH3tcQ2z5K+NGPuyH -rBaXqAF3MrHezkeHzfcpGk0Db7eZ1xN/fFdbDbY/Q1uyyGKNRCNBU8ijW+z1 -Bz3p4KVMJoWI9Avxf+7wAiSjEsfQG82EsX18sAERgLSGmmuOtBZi7cLAGMH5 -Empze9j3BVOJ1nXJNWN7XiFxOKVMQIpG7Jx5oFJZ9wp+6dZdLkU0YjTWyNjm -VhAG9W2umsrTiZ07r4tPrAlGLu2jcvUHOtFmY/daPikYq6QkvOM5GQTH05jk -y5qv0WA+JsV6lUF8uj9qcar/NdY+GDrW/Z5B0Pdr1vE8CgHZfMdHGQaDsMos -oscKhuKfZPjjtX8ZhOvqk95r0kORQjuXZyTAJNbun+pW1QwDQ19b7+A2JsG7 -NaX7dFcYHNMfn3EVYhIvPrt57bn3Bq+Gf6adZGcSdRXO095s4eCM3yy1NMEg -BETT5ITCw1EccuMZL5VBmCwImvRIvkVSUWOlYz6DyD+fe7O98i0Y6w3b5Fby -49/9/OzqyxGQf89BPufNIB7Yhm2wnIpA57X219XWDKJXvrNw4ck75J5tOP7S -gEFccDmvXCsUiSa7vsocJQZBkV8VW50eCZHGTWKHdzMIfdvxoVmN98i4ZGvK -ycsgOsRWc1zteg/njVPOcr/phPV5Q86ftlFw53x+r7yPTnD9z/eJRoXkabXo -ejqRvDMuqSIqGso+kt86cujEPtMz8X+3xODXusPO1lF0wmPabPDTzRhMtlzu -u/SMTlwvFFzvlh2DzVUxou8c6ERxxQ3p/5Zi4D3GrapgSieiOE4rW56OxQHV -t8cOnqET7M+bjnmFxYKXor3eTYFOfD89tbdoMBaS/ltIu8XphOmpD+xc0nHw -duMzEBegE+d8/lHtHsVhS/zu8sfLNKJ+6cuL3+Q4TP67vvrwNI2oynNSCBGM -xy+/gn3HP9MItdQohs6NeKiclNkXU08jLgb9k+L7FI8upa0KpQU0QnjnfiHK -33gMW+a++JZAI55d2vvS52QCjBqXxY8F0YgEmd+hx0ISoHlzkS3SlUbcKw49 -8KU/AW8V0vdstKQRg2Orz72RSsR1df7AxHM0grNMiw0uiQjwEjt2VpFGtCka -nhisTcTBhQkJHlEaYWB8bNOTDR+glGZmOshJIx5JTDtuNf2A/NcBXS3TVEI/ -ytYiK+0D4rJvv2XSqQSziDSgPv8Bf9f8jfyeTyU43SamW04kgQhTGhGLoBL9 -Y71PDYOTMHtN2u6uK5W4/Tchu7s3CW8MWzWoRlQiKlfJ+vqBZCS/kLp6SoVK -eG6Kz253Ssa+P/J5ndupxLqdn5/qkpJxQG4iZdV8C3GGNjRdsS4Fg5O1dh65 -LYSMRNnAQaMUOPFx1UjebSHqJSwtwlNSMBqTEcO5Z2U/MwYcF3+lQCauePX6 -7mbi7y6pTcbqqbguIDGr/aqZCNty6kRxYCpMfyxaZ6g3E71FsmwCn1OhCbk7 -mj+bCAbLT73/9n4E6wJ9jjOuidBX+ErKt/+Ipr3Mk5l6TYR8Ssi/NRUf0V6l -+PbnAoXwNBj9eY0nDaJNbFO0FAohIz+UnmGYhhStI6o6lyiEzgX//eyJabCV -rgk1WUUhalN7b175kQYnv9Ipvk+NROqxnv8ylNNRoit84sqVRmKGzW8Px/N0 -qPl0RKmwNxKxHN3JRm3pYDuwOF/+qYHIU++eytv1CRyqvpe6DBuII4V+M3z3 -PkGLZJ/7alUDscmyt8iq5BNIBTUbBj/WE2Z6/erVnBlw3+Lq0HyhnuC7HxCw -48KKPRXWdnGxjhCjjLx1iclAqdSmYw8T64hI0wnL9qkMKPTOR8merSNUhCiP -IrZl4sei2urAWTLRv8U5oV49E9Mvvto8eUcm5Ev+Sc1bZULcf7mVX51MqP0x -EN7/MhPv/jioKo7XEgu0J+ZX8jKh36qbthhQS9ho+/A//5wJLdGAzUZHa4mA -a8bbSliz8Khb+unljhrCgpv3+dTeLHzjkP8z5VpDfLkcZLhDNwtxMXG3tovW -EHvVvvidc8jC6xS77nESiXAx+d7rGZEFN9q+WRsrEqGt82B3XkUWBOu0LpC5 -SUQIu5vh2GgWrgdQFuY+VRNX/NkfbebNhqFo8fSSfjUR1cfzQudoNli8+fd2 -z1YRxqxh/m5XsnElj5L88k0VEfE92jnDPRumeT/sNh+rIvTTJS4NJGZD2Pux -j3N3JeEnLye6gZKNJ6L2/RmPKwm5l1VdmjPZCA9o8SrdWUmY5bZ4PhDOgUXd -63vRVRXE2iSjzckqOeimEfGGFhXEEUubqE6LHLCmGuwcX11BMGb/ref2z0Fy -bFTDO12C+K7H43A8KweVL/4b2RBUTjx/+J50pz0HhzcuvFJglBFRdzPYohdz -wKJk1sS1sYw4dET9MFUsF4eW3kT4XSoljldfPMt6Ohdk4/d/st6UEGTRyctH -bHNRcN1u7GlHMUE5u3zOPCwXHH82WfJsLiZ0T4YqhJTmIv+Qv9PxK0XEGb40 -vprBXNRytAhvfltI1MZpMH6tyYOKy+DpuI4CopT9uu8e6Tzw+NfwdggVEIdk -fu29fDEPyooPbxRfyieCXw+Q/B7lQdKug+9xaB5xxefnrcLYPCiJkXovM3MJ -L64DQhPkPNw6o0Q7uSGXENz5lLL5ax7Sp5VHz57PIbjq1z4/LZiPbZyU7beD -sgkT9hy9R8fykRw+4hRJzSK20T22p5nm40Lc89lh/ixC+YjDz27ffAjvLnmt -oZdJlO8OoPGm52Pj8a+pttsziKSUxgIVxop/p5u9b2Q6MVsh/eHefD7uzO56 -Urc1jUi8XRQZI1KA74V9DLl3qUT2B6t3tBMFWHU3x5q2JYWQcFSOW2VTANEN -UdrhEUkER5t81tHgAtgmR9/23/KBOFl/uc6isADfpAppqREJxBfd92OhvQUo -jh9z+70lnvh1cw0/mb0QZK6D9+6+iyXM179V+SNRiFWm/okC22IIWV29B/v1 -CzF/ZlmtVvE9cXv7gfyrToV4XryxmlCKIFa5Sy75vy9E4ZqrzetV3hAs9ga6 -ZdWF2CNPMS9VDSFuzEd/+DpRiENaNl6ERhCxR0CAc+e6IthbO1yVC3xBnC9L -uacvVwQ1bpuqqFBfouhhU5vn9SIEDfF8dIjyIOSrho7kehbhQbs268R5R4L6 -dsprJLkILf4NPM5dZsST5bH6TS1FmDXxY/bHaED7Zyu79q8i3HU7Wq0WZwMJ -x3wZ563FoB/Ja6btd4GYz7MrqWrFiIv/YSu07AlpMT37z5bF4FwK4t029hTX -9Tg9eQKLYZSiVPabEogormwf5dxiBJyfIwwMg/FHX+/x3a5ieD9slRA6FQqr -fX3W0SwlyNZSiK5RCMfQixu61D0lYCkV2W6y9x183dvEWc+WoLDLP2FIMApg -UZk5bF+C/HPlESkeMVjHH55j9rYE2mvmxy79jsXSx+Fbr4kS/N7wu8jZOh5L -bWIbSSMliLPPWyewUleFX13Mm+UuxcO98lMiFz7gTLvzafEjK7b0ozPx5CSE -pb1iXjQshbf/k8ORx1Lwhz/CwPdxKVKVTr9bm54KR9Ywcn5CKRbQ+uzrjjRw -PvE+NNZQiqvhuxZPvkpHVuB/gUI/SkHSPPJrE2sGHkgoDpwUKkPurlVF6QqZ -iJUrlXmoXIa7rpuS3b9nwjP0Y0iyeRn+Gu+U+52Uhc0nOVk7n5XBtEHEfL1J -Nix1Wpy5MssQXi4oTduYg5txbMuKbWXwV1p+K0vJgeiZhFe3F8pgpdYXr+KZ -iyDtrIPvdpVjEzX3zJR8HvLeSHQ2apfj5Zh7iMp0Hl4prnu1cLccXX6qj2Tj -8rHroJmBVGg5ljN/s9AuFeCW404x45JyfDWLE1/PXQgLfp3lwIFypLzXmPhV -Xoj/7a8JsNzo0nG3K4KD0kj3dykCd9Nvnk0XL0ZQ04Ve0QsEoj3Hp907inEz -+9DUeRcCKf0mUnPPSzAz8ZDDO4bACzKFe4NyKWQcpKTyagkcl5d+xvhaCgl9 -XdPRKQKl0n6JCjFluFMx3eLPVQHhLKapun45op5MOiZsq4BMiVDJd1YCEjbb -d5VJV0BC91yuRjYBAQ9fZqt6BZZk8GH74QpcLBMP+HqxAmc4aZVfnlZgVuyf -DuetCnBE9Ui+6K/AVA7H+p2uFdgzbzG2JF8JaXm2NoWXFdjVxy+m/rIS6U4f -Q/TjKuC0yW/9hdFKmDkun7mdVwHB6IZsGeUqXDi0vORZVwFe5y6O4ddV8EhO -TX33eUU3IZ/XbLIKwz2s53K/VmB6pwn5k2o1vKjs3yislej+yjjaEFaN6x45 -fiOCldjMt9Egd6oad6Z5tyztrcSHx7vE76iTUCIk+GGTUiVeqC6kzL4hQXW5 -5oC0biUIg7iB09MksCSIfTx5oxJahYKUe+o1+LlaarepQyVY7hrcMn9Tg33i -g2EP/Spx0NG4SHyqBqGsGmxBESvrapItzVethfI7vVsp6ZVwc+q8vym0Fjtm -OOsrKyoRZ3eqS3OiFoocNru6GJXYQPj8UlMm42X7I8eZlffQfT2wmjeIjB03 -5avW/q3Ebx1zzeRhMsazotaI8VbB7AX7w/UKdfhSnKWttLMKu3fYGZ/yr8Pe -J7aeBkercJQ1bU6vtw5R7J/zrLWqECybrSF+uB56J34Mel2pwukSb/V6r3oc -08jhirSpwvlgsVmltnocaXU6lOtehaWa+3/c9jWAmx5qRAmuwpsb57+9dGlA -rSJv0HDiit5/NR33KQ34j6e3YaGwCknUhlyxHY0YPLWGeyOlCpsSzLwS7zVC -fcZfX6qvCo59LzTnKhrhvfpW1ImZKjR5nvojLEBBklf0D6PV1dgQGB611pyC -jzbSOg+Eq3GW1UWekkNBcIXwx8AD1XjQPV1lxN6Eq+7X1iepVCNYZFaVuNAE -tpQ5N0K/GnENL7K+JzTBT3n6e7tFNTx6CgRnZpswc/z47e9O1XAwdLxdrdkM -JA1PrPGvxnmlmmyLkGbccx29JxpVDQnfpOnWoWZ4lKotKGZVY0Zh21ahoy2w -s/wdeJ5UjVQDMaV9ni3QcmPfZ91ejUttpWe5aC34t2hN9pqshrOpw/4efipe -T0jeiVysRuUzg8Tt8lRwq57cnLeOhOXRR1u0jKmwYC1pbBIjQf7h9NNLPlTE -SD31HpUj4bZy8nfdNCrKSZ80lk+RECaXpi/NoKK09iCXsBEJhebzH+fmqdhh -9Kn5kC0JfIeFGMk7abDIYgSc8iKBvDYgQuMkDa+qo7TMwkiY/H51Vd0dGuJe -88+7pJDgNOSxVi6EhjDRPYmvS0mwGlzKDiimwf7O4Om0FhJI08x/zf00HHXS -nCQNkuC7mm1knoOOdpUzXj2/SEjf/+wunxQd5qT5jb/X1EDtqmU4jwEdVM6z -cXzbaiD/5r3Z7EM69nBp7dsrXYO3/QeaaqLoMK4bTsLKvjGVE+jwINFhf2K/ -qOHFGniEn/MSn6TjgceGEFurGmzkHGvO4WfAxDme5dmjFduztfiALAOShzpv -xgbWwJVr28mAqwz0JeTWFsXW4Mz7YvsOd8ZK3ZXdSc9diaecpcqbyMAM+br9 -JLkGIpPL6QcaGDB4sL9i1eca7I7/UHL0GwNvB99xbP1ag5dWibfEBZkg8eRq -HWWthdmxhQIWRSZaZ+976AjWIlr444caIyYaIlpzzPfWQpMtW9bBk4mEpd7+ -R8dqoft33X88SUxY7AzkDDlbi8pFskxgIxMVR5UPppnW4rBkSd/fb0w422hd -I9nX4nhCc4yBYCueNqQEdvvWove/VQ/DFVrx9axl3ezbWgjcv/Rf/fVWpP9+ -vIY3vRaDNQ1Wox6tqCV91xWvqMUpCxPPbwmtkCksfafMqIXWGcHs4bpW/KMO -fr04Wos2t4m5mqlWbOM31747X4vlP70Gr9e1IcROOdmXh4yqstmaMzJtuPnv -Pl+0CBlbKBK6M5fbEJjC8qjgCBlrdj+Z8nrUhv/lUzI8auZiWKPbMOgoaTlu -SMb7zEBr66o2bAipH2WxIePcyIkzlSNtiGqvsNnsvuI3367BxtUOd1WB+cPB -ZLge2HjusGQ7SprL/E8nkjF3XMpeR68dup7Vu80LV/TC/0vTt2uHwjUx0qNG -MtKPl//TCG2H65WeWyG9ZExJyN/YVdiOzW4/Nqb/WMnfjNo91dUOnlqTuhr2 -OkgOP7sbv9iOKzL7nvQK1eFQhvkmrZ0dWCSfVp2TqENdtRGTqd6Bvx7k1etU -6vBX9GGy3n8d6P6n2bhPvw7HnxyhF/h1QLr11nM1izpwveUJ4kvtwCyPnMZV -pzqY3eIYMaB0YF98yi+753U4MSPS7Pe1A7Q3pFj/93XIP3rlTOq6TkxO+p5M -yKxDtlS2ScmRTthFfh8tra6DfL/EmpILnbBNY/VobauDjk71iZQHnejeUizw -daIOk3cfCfuGd6J4bHM0x2Id+HUMPPSLO7Fmg6i4yLp6VPWdduPu7kR9ZEuC -vFg9VkmZ8ecuduKXt/iOc3L1qDjyVk5HpAsRjbuCrE7VQ/jHtxmqahcybcmL -HtfrsWB5U/OEWRdkHTZYvL1Xj5tvFiSSvbog2cZByvKsh6l79of5hC6Eh8Vu -bwitx4jI0wLF2i7Y5Q3ZDibXY/ahm5HVWBfKjjSV/S2pR+Dz4HCfNZ/hwmfM -vqGlHjkXyFZB+z8jVTtM88DgSvz2rc3+pz/j1IDjY41f9YgTeFXnYP0Z1zsW -sq6taYANx27DMy8+o1fqQJ/91gYQ2e2uAumfQRtg4XhxsAFRQh/lyE2fQRGm -SyaoNcDJY3en9dfPuDY3c6X0QgNyFBoKWPm74eFmHsC0bMAF1Uj6U+luqH0U -I0+t1CWTNxH7Wc91I9zjKOfqwAY0KdeU3rbthvdi8NntsQ2IPbrtRc2rbqzZ -pR4hm9uArofRb9ZndUPsq+r0WXIDHvLq9enSutFx46XWza4GePyQMHf70Y3d -blIfHk83YHL3UbH363vAobqV5w1LI0qSLEU+He6Be6rhw4wNjfjhXHc5U78H -T0tHJsl7GvHk3UVK/P0eHH5QZd6v2AgvHn63p0E9sGv/OvjnTCO+UGZvGWf1 -QKv/ttV600bkdHO8Fqf1IPuV3Ox++0YMK5340/O9B4Xj+r7qvo1wm8x467eu -F5fGS3dce9sI13Etp12HevHipVOZfVojBmTXBn3S68WV3idmL4hGpNHnRw/c -60Ux8zNvIr0RHeUbnSMCe5Fv50OUjTTi7l+jk3/Te1f6msdObX8aYeVD19dp -6oVtIln2GzcFdSb24QFTvTh0zPQvpwgFgc9Ut1Zy9+HnXwFi5xEKbvS1L49K -9EHEJtVN8QQFg8rt1iyn+5AXsEfuvCEFwokqJry3VmwTv/Hb1hTMC/J18T7t -g+ggJcTr8UpdDzjRzZrUhz+Cc8cigyjo5Rv5b6KmD0p/Vn/OTaCgM3zqAWm4 -DyP+8w5NBRRUb/zkV8/aj4UW2prRBgruD719I7G+H66kwLClHgpc8hStfXb2 -w+rWoR1CPyjo8g9Y1SXdj7Ly/Gjplb7h9c1Qo33oxwOS6FZtoSZEn9B3tdXt -R5zL/VemEk3g3l9pnG3Uj2MDicsPlZtAXTfC/tWmfyWfcqugc02YXii9K+ba -j0SitDHFvAlm09qR5/374SIfva/qQRMODT4NeBTRj0KTm+5dz5pw8bOrelRK -PywUBKgzkU1o7dhdUlzYj0eVcVu4M5uQ0eUxRyX34+9fIROx6ib097+a62/r -x/Dw/fdKbU24/eVCyeRIP467Z7YaTDSt1BuK+tfZlfH1DE6bhSY8450P+MI2 -gN01n4968zdjh3hn5KDAALRkdUwidzVD43IRK1N0AOO9CS9zZZshXTyiW3Fo -AFxV4ySKdjP6zxp7J2EAab2bloevNcNQQCzume4A6DLSKot3mxHOKZN802gA -jqTDnhs9m/FeKihMxWYA7wJ2NEqFNsPG+9id9Y8GoPbql7BWcjOWuQ9J9j0b -wG1KvrVxSTOuku4zksIHIKBuVv2guRku6ctm1kkDOPZjTuTlQDPMyJ1d+/MH -MNzp9CRpthnrBFiUhkgr+f0P/7TAI8DO6w1jAHmX5Qw6trSgTPFwrtbgAIam -HKq/S7WgYtPxpu/fBxBc8l6BS60Fz3a/bg5dHkBFdWa26IUWbDGXK5TlG8T9 -1Z8OH7Nc6ePo4s+p2wYR6xGad96lBS/tbmjePDCIC7KWKtYBLXDQmBj9rTiI -p2JiFK+YFoieKLvvqT0IRZ1648icFgQ59QyvuTyIu2lXfufWtqCh65Tq8/8G -IX6SGdzUuWLfXeXN4TAIw62KMqNTK/GPcma6eQ5i3f6nXUvLLdi235D07dUg -vPfsq/LnoiLXhMRnFD2I+cPrhIU3UFf4cUtPTfogvsgt3E3YRkVOxTVpidJB -XJGdqj20hwqRL76rnzcMQl2qX6RMmgrazUiTkY5BpOzocD6lSEXz0Ujl42OD -eM7FXOEzKtad940O/DWIiWnGIbMzVERWXvXpZhtCVWP7Cq9Rcddz8zdxgSEI -x/d9cTGh4llIVfftnUOYtP9ymvMWFVNzF3U/HhyCnMq/1Nd2VISkMTTGjg9h -gZWfZ6crFe7ZSmU7dIagRuy5m7bSxxZzBRbrXxkC2wN1msJLKo5lNyh5WK74 -95jJ1oRTwZb2QzXVcQh/W3wi9OOo2Di3qqHFawjS9uksvR+psHm91PY9aAgD -/J2Wt/Oo4H0ybMkbMwS+D2uov8up+EXkuop/GkKh7HFFrzoq9py7t1GxdAjd -5fbx/PSV9R0WPKLdMITHahl8kZ+pMLKIp5/vGEJE2VeXfSNUmI1vmzMcHcKR -o0fGc79SkVnmEX11dgin4pwvqf2hQnu4ufHyqmEcFIwrbmKloTTIOEJ/3TDk -g59yXeWmIf6f7/5TO4Zhvk1KY1SQhiVRbWcVyWHkZL+0tNtBA4U97tnhY8MQ -v5j5YGkvDdwJIVd3aQ+jnO2l7fPDNFQsi0ytuzQM5/IDFzcp0TAupKmyaD6M -yz6+u+M0aXgyxaI/dn8YVw2je6V0aQh0u7S7xX0YT+QfeBVdpmF9o2ZhbsAw -GnZxbzhxgwb21kae8HfDUNhiHEC9TYP1uy+izinDqNl+b+aaAw1qOxLmLhcM -45GUivqY20o8o18BMjXDMDjV6GrnR8O5K33DfIxh6N7fFLf4akV/vdnCaP8w -7iWKZD+NoK3wkBuz9OswskdG0zYk0KBZcPjOq4VhbD5iExyVTgM14XHtjbUj -SHyeYba/gAaarkX/IeERXPiWuT23ggatvMHiRfER7DW1rVZpoOFgx/zFuqMj -2N4zbVDPoOFpQUrWK7URyN/c32LQQ8Mlg5mmS3ojcPi3S7Z3dIVTPjJStxiN -oDWyy8fqOw2nK85qd98ewbG4l+t/ztPQ8We1XcTDEXiYP9n3mI2OlNLYnwa+ -I/jQn9HAxUtHIbdo05qQEWRu3vondBMdPKNeS4WxI4jkq87ctZOOWJ0GP4uM -EdwikmYz9tPhdHzWirtsBMKS9dXKR+l4Vcgal94wgo8X9u6gHKdjkpg5otMx -gh2KVWzXtOjwOU/eOjwyAsfOtw6TenRY2Lpff/hzZbzsJ1uXK3R4bt76i5N1 -FKSzy3NrzekYPBsxEsw3ilLRgLWRNnR48C5Kbd42ioisy8lSD1bmG2o0R+wf -heGiMY1wp+PZwTu1QvKj+MMe73P+GR0/XrpseqU5Ctc6sZqRYDoiXK3L2c6P -ou/kwEvnSDq8Z1FuZzKKPV6fR3g/0JE5N7exx2YUei4C5LgMOnb4vq5RdxnF -VSnfowpFdLRECzTF+41CK1LuQHMVHRWajpIsoaMQJIt9sqDQMeNQMnQ5bhS1 -6WdL/7XSYXVoZCY1YxSXzuVefN1Hx3anmSvzpaNo/HTZ5cAEHYKnhoQ1GkZh -mr3/ZNUMHQpl1pV+7Sv6mts6Ly6szJ/ESO3wKCItpA+NrmbgI9XEm2VmRX+D -+Rn7Fe5bvNcYJbM8Cp1zBVKLwgwY1XnKmPOMIWOrJNNrFwOMTp8TLzaP4Z5j -JTglGbiUwKRl7BnDCyMnW78VTpzce6+z6egYVjPP3mQHAy8tLl4fVR1Dd4em -6GNtBjSv+l2dPzsGPpvrsbP6DHBxrWZyXBtDVEDIqOU1Bnptm+v4rMb+3/kB -AxVBQwrrHcdAfXSzQvMuA/nWmrv5PMfgpM1y8ZMTA8TS9+erX47BJb74k+AT -BtpPT9jMvRtD54vQJqfnDCzpSTUMJY8hjD0oq/01A/J8ZXENeWP4tDb9qsx7 -Bh77hP/+WDUGseiJuoAPDHTkETV+LWP4Xam1MJTBgHr0kXXG3WPYY0+alSti -oFTtR+vBiTFkZptl+1YxoPX+36a/v8bw1kNUltHIwGCWPoNYNY7ebha3ba0M -BLj/XOvBPw4PMstzs14GtDkGyo5tG4fFvS+3M8cYOBNGyV3cN447bP4H1/9g -oMXdqrBNdhzvvPqY3n8ZaK7KratRH8e3b+NG3OxMnDXJGmnQG8ct7aT6BF4m -dC4a849eH8fagC3C+kJM1CeUnBC6PY6mMvVT60SZqDpb/9TYaRxFXSJGgxJM -HD/n11HiPY76oSwDsgwTcmm/ZKSDx7HU8UeSUGEi9YZgVGH0OK4Uz47XazMR -Yzu0wTB9HJ/9Ev3GzjOxqdMsjLdkfOX/zs0lvMLlrO+CxTvrxnF6TPzONUsm -7PLtKovbxqHs/Csn6z4T5gfYbmcPj+PCnHPPFlcmqPMaIhUz4wi+kTMR5stE -majswBDLBH4VxHaIBzEhEd+WsZ1/Aq5/NVPJ75gQeLzP/872CUjuf2/s+oEJ -12wJe8aBCbBopv7SyFrhftUeS71jE5g/bXNvRykTDXtVbw1oT0BYZaiRm8zE -JysDp2eXJ2C0nZ+bh74Sj00o+OTNCdSOf5MU6WHi++/nRVsdJ3ApxufwiXEm -zmtmTK/2noCqhk2Y8k8mLJNDvbOCJ1BIRSd5kQmrfj1mfcwESrRZ/g5xtuL8 -VE+37qcJ6H5M/xO0vhX7KIhSLZmAzZ+TrbQtrZh0dxSOJk9g86GWl/FirQjj -dNe5zZiAwXmNfZySrZAyvy4f1zuBrSYJUQtHW5EVzNutNTGBe5dmZryUWrEj -LFjR8OcE9BWkxN+rt8Lpzhe9toUJVLJflNU+1YpSYWGRytWTKC+5Jeaj14rx -N8JJwnyT0DKy/mZwsRUsX6bGujdO4v8ANmpDLw== +1:eJw1eHc411/4Pp/ISClUUsnIiETKqrgjpCmiKKESKUVZUclOhLKiQWaRvffL +eBtv8z3MSPbeo1TS1+/3/f3OH+dcz3U9z7nvc55zneu5H8Eb1rq3/mNgYFBe +m/7P+v/HMzYzbu7oSnRkbFjveFQBi1yTizhXAXmH640l27WgTD1afry3DI13 +X1ivy9NFhU/CrER2KcJWOYzOUAxR0mF2+Il9EVoKdl/q+mKKq0GZ5+yZ8nH5 +Fnt6TIIZCnMCj227no1Rt4aixx230ai2wmDilw5PTjMnc9V72G87Kxe1PhH8 +z2/bZczagOkwy1bK649YKWXzUjSyhangwhO1vgBYpIXe1xqwRxnDVO78tduE +gQHHwT6vR+CQ+BnqohNGkAvuNfyn/hje3paEtkw88YDHTujlRhcceNy2i0U2 +jXBpsWI/YOmKur3pf1KuZxNFR5t1lMhuGHjkISY2n08M4frIiKgHmpWGtlif +LiHW9wnVK7l7gpZ155taThmxYw/P3wNdXpBglHgtl1hB8P0+/LTm4HOMesrE +cbqRiC0Pvc6s8/bBTrhsox6rJpa9mW/3t79AkTT3qFN3DUFVzKGZifmh+tIM +L7spmXj/PMTP1+4lVLO5Et1q6ghj29igi4Q/Dmg9De/laiDYV/pGCJZAuHFJ +j4iqNRKtmz9XjYi+gjq3eKiefhMhMO+gUl77Cs6nb8dYnm0mhqOvGVtZvsam +bkeWLG4KISBgJDLGGoStB0ym9tpSiDarh8EKn4Kgt3Pc3ZdEIdb7fPx8WT0Y +9bG8tQMsVCL1wbDZqd5gPKoZL5ZRphK0feq1HI9DYORtev2BGZW4nV5Ai+YJ +hWOvU078UyrxhPmkJ2tKKGpb5QsafagE+77J7uPqYdA1f2M15kUlNu5M7D79 +NQzcQe+al+2oxMuupx6i1m/Abnii/48+lagtc5ryXBeOSx9HnARlqASXYLL8 +9vBwbD/XdzecnUqYrPCYfNsfgbMmMvmmQxQiVzfbvL08AkttLcbOZRSCc6/v +OebLb8GV3WQy9I5CONiEcVtMvkXsj91F0Y4UokehM3/F7R0SIits0i5SCD1n +XeXq7e+xJ7nYdZMMhWhQ+C+6MuU92PdwTJRyUAgdm9GBxRMf0Dp93cSN3kx0 +CDOvv/L1A57cufRBLKCZuKtrwLJgEwmOjKVAm1PNBNv/zU8U3CqgYMTcTHwW +iPlUFhmF3sjDwePlTYS46dnY33wfIaLZErvzWRPhOnWjP9X8I/Tz+S1njjUR +Rvk8W55mfsTdJe6BW38aicKy69K3Vj/C8m/WlmeFjUTk+tPKFqejcZ6yunjE +uZFg8m084hEWjV33F19GHGkkZk9PihX0R4PSFtL59k8DYXoqgYlNOgZW7L1f +VUoaiAtefygPH8dglrXtldezBoK8OvHyR00MjGgOf+6rNRAVOY6KITyxSDOv +4PvD3ECoJkXSz1yPxWRV/vS+unpC//UfqU2psVhWN7hS5l9P8Ars297wOxYl +ilHHPbTriReXxAK9TsbhWLR/9EPOeiLu8I/QIyFxsHcRfhrQWEdYF4ZKTvTG +wYJiSm97UUf0jzBfeCMVj81vNOLOqNcRLCWa6+AcDzsadWZyhUy0KRlo9FfH +I8SdObcwi0xcND6yzY07AXc+9f7Ivk0mHktM2e80TcCv4zczu3aSCZ1IG7OM +5ASoa/uPHmisJVoKSH1qvxKgSTOOSH1SS7A8HZtq1vgEhrp20hWJWqJ3pMfH +IOgTHOSX7xxqqyHu/I7L7O75hPjtZX6KrjVEZPbRu0aSn+F/T1rsjngN4b4t +NrPd8TOkFTWVa5uqic0CXT7nSZ/x0pGpSde2mjhLHZgq25yIKMk7nWzbqonD +EiV9B64l4r6eo8lUbhVBlrAwC09MxOKcpOlf/SqCnd5n/3cpEfJMPl1KCyTi +t5DUNmO1JEj7+VFjAkhEGN8pjcKAJPR7y6spipOIngK5dVxdSbjw00fqN1FJ +0BkWtG+JfYF9t3vwqH4loaM4Tcq1/QKP+wGrWeMVhEJiyB/Wsi+IGdr6i+NZ +BeF+cXjhKkcyWlV3eCxwVRCHFQZS0gySsdMj/L1FQjlxRs9vH1N8MuwTgzUs +lcqJ6qQec8O5ZAxks7ss15cRSUe+3UpTTsGt+L8aW43LiPl1z0XX+6bgx1LH +kWffCSJ6fffna20p0LybyjrDSBA5at2TOUKpKEt6vOQkXErI5j+f32SdCpPs +44wC6iXENouegttFqeD3+SvZd7OYuKHdq1bJkgZG0Uz7EvciYtMDf39+vTTs +CDDuyf5YSAg3DEU4f0yDXtl/5jUlBcR70zGL9sk0FBRHcix15hMq2xsev92V +DlUPWZryUh7Ry+cUR1ZLxyJ3aW40Zx6hUPRH6tftdDTcVy0U2JdLqC5f5N0X +mA5ScHF3oWoOsUJ1u2mYk45Jd2l+G8NswkrLi9O3Kx1Kx966HLfJIvyvGu8q +YsxAGrHyV9I7kzDbsNF3UiwDpzZefi/7LoOYuPzagP98BjaJJBrqpaUTYqoT +zy/YZWBq4/BdIa80wtlktsf9bQY2Fk5pn0tKIbTOOOzNKcuAaczU2bimL0QI +01ODkeEMzFUP3RCbTyQM/Zge79iYiVaxttdtPJ+JyO8cL88cykQfqbQzQz6B +MGYM83tqmAnJyKgjBZfjiLezUU5pzzKRk/Uoe9IxhtBJkbjUF5+JJ8ynT559 +85F4riAvyN2QiXViYsNpdz8Q8oEVX9XnM/GiKMl6z6cI4kZ2s7sDbxYya/kl +R3pDCfZP13Z8VsnCgfMevCw7gghZC6vITrMsMIanW69GviToi3+2bPDLQoTn +Ua4oVi9iVpvD7lhGFiKrzh/s/eZM+D76QLrXnoXwasqG6pMWROT9tHVRf7Ng +Oto7VTd0FjKyagcpwtlwKYr8tTjwEMcq9c8xns5GctH34RFeN9QIjl+WtcnG +gjjn1N5KHzSc+3fhZlg2YmipNQaKr3D+ZKhiSHE2zoYPDVdvDsHZTcmbqvqz +8WRIsqV7/A2qY07Ql1hzwF7oFvi2+h2KmYy8RaVzoLpthJchLgoyh5fELuvn +4N+KVL53eTSCgvtIzx/nQORcgbRdRiwMvRYs86NzsDOs5/LV6Hh4sEluH6vJ +QW/7B5ljrz+BR8CnYcd0Dny4fhZsc0sEG5nd9zRPLiY1xhfGbb7AhClL+/GR +XPA/dOzJN03BLprr7mTTXAi9iXRyvZAGZVm7hW7vXHh8TesX+JiO0r3+1I0p +uSDf+ma6+iYDnxLr81ToufCbupzXEZiJxTLpBOtfuUh6sGc47XkW4u8UvP+4 +Jw/H5g9NeTzLRmbC7XdUjTwwWoc26DnmQMJeOeY/qzycHT3hKWSdi/VtChmH +gvLAbgyeKfM8nCRfrjXLz8MRyvMn2cb5mDj/YSS0Jw/VKrtLHl0qwJI5K2fN +Wt1WmPivVel8IW5uiVBZlsiH4Bbpmp8aRZA7r+2wTycf03ZfXmUqF+PObsnc +K4754G21k70jV4L/nu1f9fuQj5iDfsn8UqVgsL14vqQyHwm+06vNewlc/xWV +MD2WDyabZh5sKoMoFxeLwOYCjPKeD+PxLYNuSaK1jnwB1h9f8BhlLkfBo8Y2 +d6MCtL9Vzk9xK4dCxYBstnsBvvd82Xj5bzkoEZMeQ58LcIBDxXPwUQXc/o2Q +tzUXIFdoceelxQpoLbQyaS0VwEmoqeWLdeXa/eQedtpZCJcNDalD45UQ9nph +mKRaiOJvU4nrzEmQFta27bIohEzEoWrmPhKMtFncOQIKMa38Yd3k1SpEsmV6 +KWcXYqhe4kZuWxWWdbRd7n8txLYT3X1mOtW4Lf79bhRDETxiM9x/1ldj4OX1 +8xTRIjBMJanf16yB97M2EcZzRRjfUytGLqsBGFTmD9oWgf0Y237Wo7XYzBme +dSOiCPdV7+tI5tRi9cugZTBRBC6Zn29kpclYbRPeShoqwjBL3L/diWTwvtLP +WdxQjJVaO58poTqcbXc6LSJbDD1bS9no93UIS37Vom9QjMH17n8Vt9VjmfPt +RW+XYqR7lI5lB9bDnjGsJjeuGMQY/08etgawuHnKjNQVg0kpVsTQvQEZAbcC +ts8Vw/PBGXu3Pw1wkFDqO7m9BFrB24f97RoRLV98+JHymq0/0eg41Qj30C8h +n2+WwP9+kruieRN2nGRh7HxRgp3f9ZgoPU2wONPsxJZegqmEcV2Vy80wj1n3 +T6mtBItVd+97NDdD8GzcqzsrJdjHb/WlWZiC11oZB94JlWKZiXc92YSCnDcS +nfVapTB/o1ST8o6CV0qbX63cL8WjVsr003YKhA7cuCgVWooDxLcnStxUWNoL +CBsXlcJTz/RB73kqzDjP/AvoK4VzqEHDQ18q/re+JsDgXu0/W0WF3dGh7lkp +Aip8STmGjDS8btTrEdQjwGe8Tj31GA3mmTKTus4E3p2jKU470jA/9mi950cC +xOi2kB1ZNBy2k5LKqSbgJU3Vl5mmQULnvOnwJIFJQUYX2X103CubavZjK8Mv +IoFDwIyOSLdx+7hdZYhhq2D8FUmHhNVuoRLpMkyv6l4r6aSDy9W7pVWtDL1R +hnvu8bRAv0TEf1q/DA8nW0+yardgUfjPGRbLMiQO1rQFvGjBZNb6LQJPyvDM +R7qBgdQCaYV1bYqBZbhxXLvZaLUFKY5fQnRiylBuZ/k8WrEVN+z/nb2TU4Zg +EZfFpoet0JP5t+peW4YBXf9tQ8mtcP2clPSuqwxJK2FTA8OtGPzGeCF7ugyj +Qu+c6gXa4EFhmmlgLEdMVUThuyttMHLNej7EUw76YFC6Xkgb7k1t5FsVK8cj +V++rPxrbULSdJ2Hb0XJEhtsVubK04/i/Kknp8+WQl7pGWTjeDoY44S8nr5fj +lMrxt9rO7VhgltpralcOon73jpCsdoiL9Ic9el6Oqtals+WT7QhlPLHu9dty +qBnVyrWLdED5nbZlYko5FE1C6a3GHeCfZyGXl5XjU4+RaMmbDiittxL6Si+H +31f+A/6UDgS2P7afHy7HlG7XuAZbJ/jNFSrYf6/tfzLIaES1E6MZkazCGyuw +reCExwPnTkwUZmgdFahAX8KM8WBmJ8TcbNwvHqqA0JawGUx0IpKpK+euZgW6 +fsrLeQp/hbbGXL+HYQU2X6VJZ1z9iiMnstjeW1Wg+Mjt79XBXyHb6iiT/awC +rYWZV6rqv2IDLfRaQ1AFTv27m5+8rgvVShtfD8ZXYBdryPKTo124xdFTt5Jf +AZ1WiZ3ytl3oP8W6YWtDBXrMZQQ6krqgNu+nI/W9AnXFcSw3+7vgyWwZqTFf +Ad7259S2Hd345BE1d425EuU5nU8P6XTji5X0GQfeStQZvOVw8ulGUBnvlwDJ +SkiXkZ9+Irpx5dnVLZ9UKrE4YUEt/dGNdYk/nxI6ldjWbcdCSH3Dc+Wp2Xaz +Sri+mt3z2ewb5o8duzPrWAkTti4+53ffgE+DY6x+lYjQPLx8mPYN1k+GrQUj +KyGntZLXwdoD12LVFaWMSkhtOnTlFnrw0OJHgC6pEh7hHT1f7Xug+ZRJ/G57 +JZRGJjWUknvw5+/dGo+1f/v0b+tA1/4eBI/tv/f+byWKqdeL0nm/Y8Pxkzty +NpPgc6+CTD7/HWaMRfWNwiRkNr4urPf8jo9SPp7D8iQcn2/2zy38jlJS6ol/ +p0gQ73pywnf2O4qrD7DxXiNBQb3hnjB3L/ivpTbJ2JCgrd3v80OqF2YZdP9T +HiTwX6dSSrV68aoyUvNGGAkJThEaT272IiaY85dzIglj4UdGDrj0IkxQND64 +mIT+0uyctvBe2N7rP53cTELoBEuGbVYvDjmqj5P6SWDYc7iTuakX7SpnPb4t +kSBiqHTAf7QXN0m/tv5grcJ/b7ens63rA4XlXMymXVUI62syfry7D6JsmuJi +0lUYkjY50q/QB+PawU9Qq8KEZ62aim4fbDX2CRroV+FjL/ujQKs+OLhyh9jc +rsL2E6JfW737YOIUy/DicRVOJfNZbonuw36ZTvPogCoc2jW6V62oD9/jsqsL +oqvQFhSw8XbrWny7nAAtuwoHN28S9pjpw3yNke14TRU0QsxvBbP146LDvrL/ +uqrAtSe8JVy4HxH979bvnF7jmx5jE6zcDxJHtuYhxmrQtLyVPC73o3XxgesZ +nmrUjahJ337Qj7q3rVk3xarh7N+ho+bXj7jVnt7HR6rRq3Qyekt8P8wEAlhC +zlXjv6mA3W2l/Sg7pHwg2bQaN+7xW7/q6IeTleZVkm01AtzMVFTn++FTlxjQ +7V0N933X/UY3DGD6nEXtYkQ1jt3iueQtMoCUHy6sG1OqUXnYJZIPA6gmzZ4X +KasGV3ikWbzBAA7nF79TpldDPND+s+jDAfyh9E/rD1eDhW/dnUi/AezivKl1 +/1c1UhVPJnLEDyDkofJnb44aCE6omj8oHYD5nwebovbUwOjgwseG9gEEJDI8 +zpOtgcmGq4b8cwP4X31aAwEnp0Bz9kH02++3GDWoQa7z+RPxwoPgDiEPM1jV +gHvzV7uvxwYR2V5mteNZDY4p7dq7/tIgnh3n+nUwqAYSP3h0xK0HUdRU4nc6 +vgaDmqQ/x30Gcd69cu/N/BqYS0rsvRA9CMWrwqTH9TVIi9Ws0y8cxBPDb5Yh +PTWoTNsxrUMfxI6nc1tT5mrwUTs2UH1yEBzVJrVVTLVQ9xhIlWIeguFhcbee +7bXIPv31zEb+IfytOX38p0QtxuOfmw/ID+G3aw3zZpU1O2RiNU17CN1/1OvF +dWphkidHtr09BOlWS19Vs1osJYc6SbsNYZFD/sQVx1qk2G/YPBAxBPHYxKWH +vrXw3vLmZUDmEKhvSNF+H2rh7qo4c7B+COPj3ifj0msRVTGj0DgwhIfvZ4eL +K2vR1V5sbroyBJtkRtfWtlocLYt6PMEzjG6+Qq7psVoUPw53uC81jMKRHVHr +/9bCiP3T5TGNYbByC4rs2UwGv1U9v5HxMMjvm+MUhMn4L5q5odphGEueIvwX +5MlgSNQ32Rc4jLf1Qq9vnyJD3LOow+PTMNJtav66GpHxUFZBsY0Yhpwdt1mE +NRlfs2qfCnQMY3/belKGOxnmrDaJN2aHER4WvbsulIytMlJF71lH8DBnwKb/ +MxkDEgxZTQIjKJFtLPldRAZtefTVsuIInDcZM3E3k9EfMaLHpzOCJK0wdcl+ +MrZtWlk9ZDmCU332LieWyLDUFw3UcBuBUcdKxlXWOvRamzNrR4ygR0ryu+3O +OjhcK75+IWME1D6G9S8P1GE/v3jcKfIIGnhp++NU60BPqn5ztG8EV3/OGxbr +1eHASjaL2K8RuD696d9iUQdlroWFDVtGofpFuGbSuQ6sU65G4+KjCHc9xMIc +UAffl8aqFcdH4fk36Nzu6DqUzfrFBBuMglVI7a1cdh1Sd7C5GtuMQnj6+NS5 +mjrosbR1CvmMouN6oKb51zqkF8yn9UaNYu9TqQSXqTqQZEz+C88bXdMBOzne +MNQj0GonVat5FM+SDB6lcddjyz2xXQvDo/ApHhqvEa3HcVmPgbDVURx0qLjZ +q1QP6eIDYoe3jeFh+3T/8tl6tLFLjNRJjUGz987tLab1OMhvL3xVYwyZr+QX +99nWQ+MHZ/eg0RjyR3W81bzX9g/+vfm23RgujRbzX42oR8ji4YpBvzG8DHQs +sU2uB4WPmLkSOwbDHrcbL4l6lDNHRNQVjqGwpWtjPK0eFjkVxCHaGHIfehEl +Q/Wo2XfsRtjYGn6+i2Pbcj26bzC7zTOMwya+Rm5mQwOSTPl3afGOQ+aI6W+W +PQ2QEn5+6I30OBZ+cxECsg24JLfrZa/mOPZYJT1V0mgAu1Dh+F7jceT4i8rr +GjTg/Jiptpn9mm3yfPTO3Qbs8ttcGPlyHIL9DSEeLg14ykKWaIkdxzLPzyPv +XzfgvrFvNFPROI4uM3dlxzVgMlCPX4Y2jiG/X3aNeQ1YficWfWlsHCvNVNbh +ugYEua+TeMQwgSekgLDVbw0oPDGaH7J9ArctZfi3zzXA5nvrueQDEygpzY2S +ZmpErn7DaKnGBBxIgju1tq/pgoT6Fw1GE4hxfvDKVKIRQ1S6TKvtBI70xf97 +pNyI9vbBbx2+E2t8Sm+/vtCIy/mrr9qjJxBPFNcn3myEma3gaWr+BJwVosQr +HBrBwHaOrbp5Avkm5s++vmiEuJNbU87wBMwUuSjz7xvRX1ka8fHvBB6Xx/Bt +SG+E5BjTXW+eSfz+vd1EuLIRjGMX1SwkJzE4+ODD0bZGmFd+2XNCbRLHnqW3 +XhxrxJVHHOv4DNf8yXQWq5VGfGVxmJywnsTeqq5DnpxNGH8w2pXvPQlNuTMm +74WakOieetrtwyRGe+ICs+WaILeeO1MjexJsFaOkBq0mBKxs5VpfP4nknm3/ +Bq82IetW3p2KvknQDkur/L3fhM+qP4uclydhTzrovtW9CZavWpmlOafwzp+/ +Xiq0CT91dLW+i0xB9dUSr+bnJlz0vufhd2wKdxpy7xoXNcFFSizv0MUpcKnd +qHRoaoLtyWcD7ZZTODL3c09gXxMUWuxZH7lOYbDT0e3TYhNq6eyiPG+m8L/9 +62YIaKocS06ZQs5l+YsdfM04L7n5zHHSFAYm7SpnpZqh5eGqS/k6haCiD4ps +qs3gPB+oazQ3hbLK9ExBvWbE+R07M8gyjQfMqQePWDSD9ZjPsdv804h2Dc3R +dW5eq8cfio4enoaenIXKXf9myC/9ZjU7Mw0fYeEGj4/N+G9VcLDr+jSUzpCN +32c1I9xpJE/70TTuJxv+yK5uxqrFGU8iYBoiJ1uCGjubIUs+d0oyfhoGO5UO +D0+u2R+m1wcXTWPzPp+vq/+awTAoVfKDOg1PUfEKPzYK9Nqr+wxGp/Hr4GZe +Xm4KvqXbjRetTmNCfuV+3C4KYmwU2vdsnYGh3GS1jCgFUds4P3tKzkBNqndP +iTQFLTF/jMZVZ5DI3+F0SokC9a1/ly4YzMCXrWVNn1EwcY/bNv/+DMam6DI3 +zlLQlKzSIuA1g4r69jW9RsEw1WWH77sZ8MZ+n3Be07HHvtHVFjNmMG47cZrF +koKaJuULJrUzkFf5kxT8kAKfhGLV+p4ZrDBycgg8oeCZ2YXtikszUCVE7yd7 +UXDV88kojXkW6xzUqIqBFASfuqrAvmUWqqI35KrCKRhzU19W3TWL381eb3Vi +KDCUUpR0FpuFtG0KQ88XCkbOyFMyZWfRx9lpcSdnTWd/UxmZUJ7FpgRWyo9S +Cgz6dWxETs0iX+6YkkftGt8r1ndN9GbRXWoby0mj4IjGm44Ik1m4qKZtet+1 +5h9Lzmu5M4u3JdPO4kMUBNqtZ9vsMAvZQ7Kj2dMUDOafazjjNotTMU6XVJcp +uGwTxejzchYHeGIKGxmpCPWyYa18MwuFIB+2KxuoODsgd/xPzCxu7pI6McxD +xbMXM59lUmeRlRlo8ZCfiv2PPqjdLJiFiH66w6oYFTpp2BhMmkXpukAb34NU +LB5oYy1rnoVTqaT+tqNUbP5pKjf+dRaXvbz3xqhTkcDSG7RleBZXDKJ6pM5T +kWd6UVB+bhZuCg4eBZepUP+vqPfyyizqhDZwa1ynQmtyG9WBZQ6KfMb+lDtU +kPkt5oO45lC123r+qh0VZRFf1JN3z+GxlIrayFMq5K8N1lSIz+HiqfonD59T +IWq55UnboTmcf7At5u8rKgJKZK+PqMzBOn5Pps9bKmyvaDkunZpD5tBwMncc +FTToljLoz2GHrFVQZAoVyfcuyLOZziHeN+3Gvjzq2vtW+77p7hz0ZtJ3Z5dR +0Ryzr2CLwxzETG0qVeqo4E1iIm1xm8Pub1MXyXQqun7R/216OQcF833NF79R +wRf0xortzRzs/gjJ9Qyv4T3UZmeMmUPr+69et2epYHr/p2MpeQ5HYgK3LPxa +y0/A1cCxvDm43nQTd1lHg3n9gcFvFXNI6E2rY9tIwyNd+3Za4xzSd+xcDt1G +Q72wtGVtxxzeb6pMFxKgwRRGEaUDc7AkPi2m7aNBLn7FImd6Drz7yZXKh2g4 +rb+5NfnXHL7oifE3HKMhRvtNbxzTPPiVKtZd1aRBKeS17wfOedh3RtiNa9PA +I/yPFsY3jy9yqTbOhjRIrXwveCUyD9K5fz/Zb9Lgs+cw/GTmUSzoz/7eigYB +/z83vI/O423G5c9SDjT8VZcXcdech8FfYyrxjAa+k4NeLjrzWGaK9dJ9QYNT +MLPXY6N5PKkVrhoKomGHxFshJ4t5fD/ZF+j0noZl9hhjx4fzEPXoGtqYsBYv +v1vJ4ek8tJ25amLSaHj6ZUOmvc88rkh5H1IsoEHo7j2yffA8NN/LSzZV0MBs +r/bMIXIePDXCqWYNNEiSfemOifOoTjlX/KeVhiALzWqn7HlcupCtH/ydBsUL +9pefEPOoT73sLDm2dh6P7c+f1c3DNHPfyYp5GtTG3vO7ta7hq+/q1F+hIfSu +/YhL7zzem0nLDDPTwcce3fdoYg2f++ZZW046KKQ9G61/zOPMhTypv7x05Lyb +s7zOuIC0nftbPIToaA7YtqzNsQBr+3Kw7KdjR2RA3pHtC3h5zdHmuRwdoWTD +z4JCC2BuOWfOBDrUtjyqY5JaQHeHuqCLFh0C9mO7BxUWsMnKKHpRhw6ZpZQY +Qm0Bkf4hwxZX6Xj4usrgzbmF/9c/oOP7KZnjdw0WQHlsXqZ+nw4P/mmDozcX +4KjFoJ/qSMcVzn8xLPcX4BxbmMrjRseNXTf5KY8W0PkytNHRl463Gnz1IR4L +CGN6ndEeTAeT395E/YAFpLKnXDn8gY6oSbd8rogFCEeN1fon0HH3juyv+tgF +/CjXXBlIo+MOk/xdt9QFiNqSFuUL6HhX+HLToYIFpGfeyPSuoOPPS/mB3soF +RLgKytHr6Qh4fGjMt2kBPd0MT3e10qHr7SFwsHMBrjUMvjd66NBMEX1BH1iA +mfXEnfQROlpo7nCdXsC9dX4Htsyt8e/8a6LxawHvPL63eP6mY6LszbgQ0yJm +ZkavbWBqgd2LC995ORdhqfWJHLexBSuy4ipifItg9+fj1dneAs8SfpbzIoto +LFE7tVmwBev3HT7iL7OIgq97rvVLtMDP/nb74NFFkAcyLtYcbsHG2KIevZOL +WO1Y3k+otOBlhtSFb7qLMCxcHCVrtYAjulTSxXgRXc/jn4/otsD3wf0HCncW +1/73DWy811rAIKwsyOawiNMjIveuWrTgcY7E0QW3RSg7LWVlPGjBD3EFYsl/ +EXo/nb7xPWmB1dMbKZvfLiLoetZYmHcL+jOTmdUTFrGUF90h8roFBuSttYGZ +i3jyWz2p5l0L6so+LC6ULmL/vg/GTxJaoByh7mdTvwgG9aSlExktSNVlf8nc +sYhfp62s+YtbsGtq+kfm4CJ4VQbqN9Ss8b09X/9obhHXdnNu4KCt8SHxbLi8 +uojq0Zn9e761wPS/S9nnNizh0kevgxqja3gCuTVGvEs4fsIqTHmhBa+qWl4o +iCwhn4LOmr8t4A7TweuDSyjSYvg9wNKKC0H7Ry2Vl3D+S8ry6y2tOFN4y7Nc +awlWyydbqXyt+G/TX+7wi0vYIdMcGCvcClv/+bCpa0u4qHtCnGV/K6IVNTdW +WCxhp0lc5MqhVvhzLjtsfbAE60vz8x5HWyHLxdoy4rQEHUUpkQ9qrQg57rBX +yX0J5Uz6clqnWpH1Vu02m+8SSosshb20W/FS4F6UweslaF67O3NRvxUCtCWy +aPgS/gcXmicl "]]}}, {{ Directive[ PointSize[0.009166666666666668], @@ -370744,9 +370745,11 @@ TpjDXxB/v5yDguPH5DNHQx1EKieVnH0i74CeXwBda4MX CellChangeTimes->{{3.7971783177707653`*^9, 3.797178325201996*^9}, 3.7971827252066383`*^9, 3.797328137673478*^9, 3.7974968828875732`*^9, 3.797497348912525*^9, 3.79749802511693*^9, 3.797499084579069*^9, { - 3.79750472003246*^9, 3.797504731786365*^9}}, + 3.79750472003246*^9, 3.797504731786365*^9}, 3.79752615061012*^9, + 3.7975270470465097`*^9, 3.7975283459087057`*^9, 3.7975298478777018`*^9, + 3.797532178863059*^9}, CellLabel-> - "Out[341]=",ExpressionUUID->"b59a80b7-5bc7-46fd-9261-b04b46fd2922"] + "Out[329]=",ExpressionUUID->"93e84e14-d627-4cd5-8840-af3a8f7b5296"] }, Open ]], Cell[CellGroupData[{ @@ -391420,168 +391423,168 @@ Cell[15339361, 364476, 1792, 41, 89, "Code",ExpressionUUID->"3053fc54-a711-42db- Cell[15341156, 364519, 12359, 327, 662, "Input",ExpressionUUID->"f9315378-c650-4b01-adc0-3a8dfaf073d2"], Cell[CellGroupData[{ Cell[15353540, 364850, 5131, 95, 480, "Input",ExpressionUUID->"abd4eab1-6449-43ab-964f-c00dcb6b555b"], -Cell[15358674, 364947, 155326, 2773, 308, "Output",ExpressionUUID->"f7bdd1f0-2028-489b-a302-246ff26b6ef9"] +Cell[15358674, 364947, 155462, 2775, 308, "Output",ExpressionUUID->"ba8d0057-583d-4a73-9ff8-999a76f07c90"] }, Open ]], Cell[CellGroupData[{ -Cell[15514037, 367725, 3552, 74, 480, "Input",ExpressionUUID->"b3f6951d-bf84-466d-8be0-5fa497cd2249"], -Cell[15517592, 367801, 164911, 2947, 347, "Output",ExpressionUUID->"b59a80b7-5bc7-46fd-9261-b04b46fd2922"] +Cell[15514173, 367727, 3552, 74, 480, "Input",ExpressionUUID->"b3f6951d-bf84-466d-8be0-5fa497cd2249"], +Cell[15517728, 367803, 165021, 2948, 347, "Output",ExpressionUUID->"93e84e14-d627-4cd5-8840-af3a8f7b5296"] }, Open ]], Cell[CellGroupData[{ -Cell[15682540, 370753, 5803, 146, 576, "Input",ExpressionUUID->"480efb8a-9a05-41e0-9e2f-f1efa4801aa1"], -Cell[15688346, 370901, 76816, 1397, 563, "Output",ExpressionUUID->"c8000803-fd24-4ba8-87c0-cc5814d0d1ac"] +Cell[15682786, 370756, 5803, 146, 576, "Input",ExpressionUUID->"480efb8a-9a05-41e0-9e2f-f1efa4801aa1"], +Cell[15688592, 370904, 76816, 1397, 563, "Output",ExpressionUUID->"c8000803-fd24-4ba8-87c0-cc5814d0d1ac"] }, Open ]], Cell[CellGroupData[{ -Cell[15765199, 372303, 3718, 85, 152, "Input",ExpressionUUID->"4ced83df-82fb-479a-80ad-f54999d07ac1"], -Cell[15768920, 372390, 393, 10, 42, "Output",ExpressionUUID->"e761cef7-ba89-40f0-af81-9f25b144f7d8"] +Cell[15765445, 372306, 3718, 85, 152, "Input",ExpressionUUID->"4ced83df-82fb-479a-80ad-f54999d07ac1"], +Cell[15769166, 372393, 393, 10, 42, "Output",ExpressionUUID->"e761cef7-ba89-40f0-af81-9f25b144f7d8"] }, Open ]], Cell[CellGroupData[{ -Cell[15769350, 372405, 396, 10, 37, "Input",ExpressionUUID->"435d8ce4-d7ff-48f6-a36d-eeae80173fe5"], -Cell[15769749, 372417, 395, 10, 42, "Output",ExpressionUUID->"768775f5-78ab-4c99-8cde-2d755074e776"] +Cell[15769596, 372408, 396, 10, 37, "Input",ExpressionUUID->"435d8ce4-d7ff-48f6-a36d-eeae80173fe5"], +Cell[15769995, 372420, 395, 10, 42, "Output",ExpressionUUID->"768775f5-78ab-4c99-8cde-2d755074e776"] }, Open ]], Cell[CellGroupData[{ -Cell[15770181, 372432, 5015, 104, 596, "Input",ExpressionUUID->"3e1d531d-968b-4347-a125-72660d1219b5"], -Cell[15775199, 372538, 40094, 699, 292, "Output",ExpressionUUID->"b79b5882-ebd6-4e5c-bf2f-22c76bb87f38"] +Cell[15770427, 372435, 5015, 104, 596, "Input",ExpressionUUID->"3e1d531d-968b-4347-a125-72660d1219b5"], +Cell[15775445, 372541, 40094, 699, 292, "Output",ExpressionUUID->"b79b5882-ebd6-4e5c-bf2f-22c76bb87f38"] }, Open ]], Cell[CellGroupData[{ -Cell[15815330, 373242, 1006, 34, 41, "Input",ExpressionUUID->"ed4f0a44-6146-4637-aef9-adbd4d2214f4"], -Cell[15816339, 373278, 180, 3, 42, "Output",ExpressionUUID->"8834c727-28ee-4177-9c26-b5e90ee0942b"] +Cell[15815576, 373245, 1006, 34, 41, "Input",ExpressionUUID->"ed4f0a44-6146-4637-aef9-adbd4d2214f4"], +Cell[15816585, 373281, 180, 3, 42, "Output",ExpressionUUID->"8834c727-28ee-4177-9c26-b5e90ee0942b"] }, Open ]], Cell[CellGroupData[{ -Cell[15816556, 373286, 875, 25, 93, "Input",ExpressionUUID->"827a1054-eda5-4739-83bf-0386aaca1ac7"], -Cell[15817434, 373313, 538, 15, 43, "Output",ExpressionUUID->"60b9cb12-eca4-44cc-85c0-c21c1c2ccac5"] +Cell[15816802, 373289, 875, 25, 93, "Input",ExpressionUUID->"827a1054-eda5-4739-83bf-0386aaca1ac7"], +Cell[15817680, 373316, 538, 15, 43, "Output",ExpressionUUID->"60b9cb12-eca4-44cc-85c0-c21c1c2ccac5"] }, Open ]], Cell[CellGroupData[{ -Cell[15818009, 373333, 1086, 37, 57, "Input",ExpressionUUID->"cdad1fe6-ff9f-408c-a306-2a11ba6ebd87"], -Cell[15819098, 373372, 182, 3, 42, "Output",ExpressionUUID->"c8179154-92d6-479f-ba30-b6df072b5d55"] +Cell[15818255, 373336, 1086, 37, 57, "Input",ExpressionUUID->"cdad1fe6-ff9f-408c-a306-2a11ba6ebd87"], +Cell[15819344, 373375, 182, 3, 42, "Output",ExpressionUUID->"c8179154-92d6-479f-ba30-b6df072b5d55"] }, Open ]], Cell[CellGroupData[{ -Cell[15819317, 373380, 12100, 237, 1260, "Input",ExpressionUUID->"0a7923af-71ee-45df-8b56-0e8b12a0a6d1"], -Cell[15831420, 373619, 8842, 152, 1009, "Output",ExpressionUUID->"5db96a25-79a9-4efe-8b1f-76b5aa8f7756"] +Cell[15819563, 373383, 12100, 237, 1260, "Input",ExpressionUUID->"0a7923af-71ee-45df-8b56-0e8b12a0a6d1"], +Cell[15831666, 373622, 8842, 152, 1009, "Output",ExpressionUUID->"5db96a25-79a9-4efe-8b1f-76b5aa8f7756"] }, Open ]] }, Open ]], Cell[CellGroupData[{ -Cell[15840311, 373777, 225, 4, 84, "Section",ExpressionUUID->"f52c481b-2327-47bd-b23f-929a336b5740"], -Cell[15840539, 373783, 1466, 29, 298, "Input",ExpressionUUID->"7ba6b269-e860-40b6-aa38-f199d4dfc390"], -Cell[15842008, 373814, 10942, 311, 662, "Input",ExpressionUUID->"c7746a17-1add-47d6-9260-6df14430c1a3"], -Cell[15852953, 374127, 1300, 31, 37, "Input",ExpressionUUID->"07e73e2b-48a5-4642-9417-f48c2b3cf550"], +Cell[15840557, 373780, 225, 4, 65, "Section",ExpressionUUID->"f52c481b-2327-47bd-b23f-929a336b5740"], +Cell[15840785, 373786, 1466, 29, 298, "Input",ExpressionUUID->"7ba6b269-e860-40b6-aa38-f199d4dfc390"], +Cell[15842254, 373817, 10942, 311, 662, "Input",ExpressionUUID->"c7746a17-1add-47d6-9260-6df14430c1a3"], +Cell[15853199, 374130, 1300, 31, 37, "Input",ExpressionUUID->"07e73e2b-48a5-4642-9417-f48c2b3cf550"], Cell[CellGroupData[{ -Cell[15854278, 374162, 4261, 78, 428, "Input",ExpressionUUID->"602f0900-7f7d-4d52-a070-f83c0ac6ed77"], -Cell[15858542, 374242, 127060, 2271, 296, "Output",ExpressionUUID->"b9e63d08-dfaf-48f9-92f3-7756bbd1510a"] +Cell[15854524, 374165, 4261, 78, 428, "Input",ExpressionUUID->"602f0900-7f7d-4d52-a070-f83c0ac6ed77"], +Cell[15858788, 374245, 127060, 2271, 296, "Output",ExpressionUUID->"b9e63d08-dfaf-48f9-92f3-7756bbd1510a"] }, Open ]], Cell[CellGroupData[{ -Cell[15985639, 376518, 2830, 60, 428, "Input",ExpressionUUID->"74b01204-6ed1-4565-9dc3-493748b8baba"], -Cell[15988472, 376580, 122380, 2186, 332, "Output",ExpressionUUID->"291aabce-0e42-4de4-9398-b5a031b29d14"] +Cell[15985885, 376521, 2830, 60, 428, "Input",ExpressionUUID->"74b01204-6ed1-4565-9dc3-493748b8baba"], +Cell[15988718, 376583, 122380, 2186, 332, "Output",ExpressionUUID->"291aabce-0e42-4de4-9398-b5a031b29d14"] }, Open ]], Cell[CellGroupData[{ -Cell[16110889, 378771, 2242, 55, 309, "Input",ExpressionUUID->"2b3668cf-0afe-4101-b9c6-a8266ed46749"], -Cell[16113134, 378828, 18397, 343, 309, "Output",ExpressionUUID->"b5742a85-dea6-4c18-9951-2ce62dadcb19"] +Cell[16111135, 378774, 2242, 55, 309, "Input",ExpressionUUID->"2b3668cf-0afe-4101-b9c6-a8266ed46749"], +Cell[16113380, 378831, 18397, 343, 309, "Output",ExpressionUUID->"b5742a85-dea6-4c18-9951-2ce62dadcb19"] }, Open ]], -Cell[16131546, 379174, 1745, 40, 61, "Input",ExpressionUUID->"a3de568f-6397-4cbb-b5f7-b0dab8cb97bf"], +Cell[16131792, 379177, 1745, 40, 61, "Input",ExpressionUUID->"a3de568f-6397-4cbb-b5f7-b0dab8cb97bf"], Cell[CellGroupData[{ -Cell[16133316, 379218, 2558, 57, 98, "Input",ExpressionUUID->"fdbd10c2-f3dd-4f14-a3d6-dc11f0091954"], -Cell[16135877, 379277, 1094, 20, 42, "Output",ExpressionUUID->"79329edf-52d4-457d-a672-1025b9595c66"] +Cell[16133562, 379221, 2558, 57, 98, "Input",ExpressionUUID->"fdbd10c2-f3dd-4f14-a3d6-dc11f0091954"], +Cell[16136123, 379280, 1094, 20, 42, "Output",ExpressionUUID->"79329edf-52d4-457d-a672-1025b9595c66"] }, Open ]], -Cell[16136986, 379300, 1790, 40, 61, "Input",ExpressionUUID->"225241c3-33d9-4310-84ba-d8734640e0de"], -Cell[16138779, 379342, 2540, 55, 98, "Input",ExpressionUUID->"3f531de4-75e7-4225-8e55-c8e46d93b5af"], -Cell[16141322, 379399, 418, 10, 37, InheritFromParent,ExpressionUUID->"4807fbf5-b84c-4d81-ab8b-fc347158d244"], -Cell[16141743, 379411, 398, 10, 42, "Output",ExpressionUUID->"21bc6cf6-accb-4361-ad00-dfc71d4c52b7"], -Cell[16142144, 379423, 4073, 91, 622, "Input",ExpressionUUID->"b73e1773-6edf-420d-97ea-e2f403c9a519"], +Cell[16137232, 379303, 1790, 40, 61, "Input",ExpressionUUID->"225241c3-33d9-4310-84ba-d8734640e0de"], +Cell[16139025, 379345, 2540, 55, 98, "Input",ExpressionUUID->"3f531de4-75e7-4225-8e55-c8e46d93b5af"], +Cell[16141568, 379402, 418, 10, 37, InheritFromParent,ExpressionUUID->"4807fbf5-b84c-4d81-ab8b-fc347158d244"], +Cell[16141989, 379414, 398, 10, 42, "Output",ExpressionUUID->"21bc6cf6-accb-4361-ad00-dfc71d4c52b7"], +Cell[16142390, 379426, 4073, 91, 622, "Input",ExpressionUUID->"b73e1773-6edf-420d-97ea-e2f403c9a519"], Cell[CellGroupData[{ -Cell[16146242, 379518, 986, 29, 70, "Input",ExpressionUUID->"ae070d17-12b4-413c-af0d-ea06f0509ac1"], -Cell[16147231, 379549, 496, 14, 43, "Output",ExpressionUUID->"61fa5d44-992e-4d43-a6ce-8a99de64cd72"] +Cell[16146488, 379521, 986, 29, 70, "Input",ExpressionUUID->"ae070d17-12b4-413c-af0d-ea06f0509ac1"], +Cell[16147477, 379552, 496, 14, 43, "Output",ExpressionUUID->"61fa5d44-992e-4d43-a6ce-8a99de64cd72"] }, Open ]], Cell[CellGroupData[{ -Cell[16147764, 379568, 1436, 45, 68, "Input",ExpressionUUID->"ce7948e3-c67c-4204-92e1-3e37d62a77b7"], -Cell[16149203, 379615, 275, 5, 42, "Output",ExpressionUUID->"6ae43642-bb7b-4b1a-b6de-404d687ba225"] +Cell[16148010, 379571, 1436, 45, 68, "Input",ExpressionUUID->"ce7948e3-c67c-4204-92e1-3e37d62a77b7"], +Cell[16149449, 379618, 275, 5, 42, "Output",ExpressionUUID->"6ae43642-bb7b-4b1a-b6de-404d687ba225"] }, Open ]], Cell[CellGroupData[{ -Cell[16149515, 379625, 1307, 44, 68, "Input",ExpressionUUID->"780b7dbf-22a6-48a3-8616-b43d7eee891d"], -Cell[16150825, 379671, 156, 3, 42, "Output",ExpressionUUID->"0ea470b4-e8d3-4f6a-a60a-5be97255d2dc"] +Cell[16149761, 379628, 1307, 44, 68, "Input",ExpressionUUID->"780b7dbf-22a6-48a3-8616-b43d7eee891d"], +Cell[16151071, 379674, 156, 3, 42, "Output",ExpressionUUID->"0ea470b4-e8d3-4f6a-a60a-5be97255d2dc"] }, Open ]] }, Open ]], Cell[CellGroupData[{ -Cell[16151030, 379680, 156, 3, 65, "Section",ExpressionUUID->"7e57ea5d-eda6-4859-be05-fbdab0057af8"], -Cell[16151189, 379685, 1046, 21, 246, "Input",ExpressionUUID->"9b0a9925-7ea3-472a-8b52-c47b533609b7"], -Cell[16152238, 379708, 12056, 320, 662, "Input",ExpressionUUID->"e2303c87-a8e1-4df1-944f-cd2b088263a9"], +Cell[16151276, 379683, 156, 3, 65, "Section",ExpressionUUID->"7e57ea5d-eda6-4859-be05-fbdab0057af8"], +Cell[16151435, 379688, 1046, 21, 246, "Input",ExpressionUUID->"9b0a9925-7ea3-472a-8b52-c47b533609b7"], +Cell[16152484, 379711, 12056, 320, 662, "Input",ExpressionUUID->"e2303c87-a8e1-4df1-944f-cd2b088263a9"], Cell[CellGroupData[{ -Cell[16164319, 380032, 2544, 43, 272, "Input",ExpressionUUID->"c25cd409-06ed-474f-bd83-a59b0babaccb"], -Cell[16166866, 380077, 72082, 1437, 484, "Output",ExpressionUUID->"a3e56d39-d567-4bc8-a07b-1909c4f1269b"] +Cell[16164565, 380035, 2544, 43, 272, "Input",ExpressionUUID->"c25cd409-06ed-474f-bd83-a59b0babaccb"], +Cell[16167112, 380080, 72082, 1437, 484, "Output",ExpressionUUID->"a3e56d39-d567-4bc8-a07b-1909c4f1269b"] }, Open ]], Cell[CellGroupData[{ -Cell[16238985, 381519, 1442, 29, 272, "Input",ExpressionUUID->"b6366ba0-47af-4fdb-8ffb-513b455421e0"], -Cell[16240430, 381550, 74203, 1485, 479, "Output",ExpressionUUID->"aee73340-d188-4c02-9c0b-bc1febe9fc98"] +Cell[16239231, 381522, 1442, 29, 272, "Input",ExpressionUUID->"b6366ba0-47af-4fdb-8ffb-513b455421e0"], +Cell[16240676, 381553, 74203, 1485, 479, "Output",ExpressionUUID->"aee73340-d188-4c02-9c0b-bc1febe9fc98"] }, Open ]], -Cell[16314648, 383038, 893, 24, 37, "Input",ExpressionUUID->"5add9728-32e3-4599-868d-3a1b2239db00"], +Cell[16314894, 383041, 893, 24, 37, "Input",ExpressionUUID->"5add9728-32e3-4599-868d-3a1b2239db00"], Cell[CellGroupData[{ -Cell[16315566, 383066, 1514, 40, 108, "Input",ExpressionUUID->"2a0688ee-74ad-46db-ba7b-224b2b808675"], -Cell[16317083, 383108, 1720, 28, 95, "Output",ExpressionUUID->"eca99428-ff78-4db9-b494-5f3bcf2667f9"], -Cell[16318806, 383138, 1708, 27, 95, "Output",ExpressionUUID->"8ddf8bc8-1463-44d4-b852-ee67ae09ad31"] +Cell[16315812, 383069, 1514, 40, 108, "Input",ExpressionUUID->"2a0688ee-74ad-46db-ba7b-224b2b808675"], +Cell[16317329, 383111, 1720, 28, 95, "Output",ExpressionUUID->"eca99428-ff78-4db9-b494-5f3bcf2667f9"], +Cell[16319052, 383141, 1708, 27, 95, "Output",ExpressionUUID->"8ddf8bc8-1463-44d4-b852-ee67ae09ad31"] }, Open ]], -Cell[16320529, 383168, 1891, 42, 61, "Input",ExpressionUUID->"5a387996-123d-49ae-82fd-6a5880df6248"], -Cell[16322423, 383212, 2490, 54, 98, "Input",ExpressionUUID->"eea77d81-2482-41a9-a42f-4d2642a2975b"], -Cell[16324916, 383268, 350, 8, 37, InheritFromParent,ExpressionUUID->"ca9a55bb-28bc-40cf-a0da-e4b6a558812f"], -Cell[16325269, 383278, 351, 8, 42, "Output",ExpressionUUID->"686e79ab-49c0-4140-ac3f-11851338bc55"], +Cell[16320775, 383171, 1891, 42, 61, "Input",ExpressionUUID->"5a387996-123d-49ae-82fd-6a5880df6248"], +Cell[16322669, 383215, 2490, 54, 98, "Input",ExpressionUUID->"eea77d81-2482-41a9-a42f-4d2642a2975b"], +Cell[16325162, 383271, 350, 8, 37, InheritFromParent,ExpressionUUID->"ca9a55bb-28bc-40cf-a0da-e4b6a558812f"], +Cell[16325515, 383281, 351, 8, 42, "Output",ExpressionUUID->"686e79ab-49c0-4140-ac3f-11851338bc55"], Cell[CellGroupData[{ -Cell[16325645, 383290, 4031, 89, 622, "Input",ExpressionUUID->"9b865922-379a-437f-9465-86ea61099c7c"], -Cell[16329679, 383381, 41798, 734, 475, "Output",ExpressionUUID->"f3910331-e22e-4ec4-bc09-cddeacb1e34b"] +Cell[16325891, 383293, 4031, 89, 622, "Input",ExpressionUUID->"9b865922-379a-437f-9465-86ea61099c7c"], +Cell[16329925, 383384, 41798, 734, 475, "Output",ExpressionUUID->"f3910331-e22e-4ec4-bc09-cddeacb1e34b"] }, Open ]], Cell[CellGroupData[{ -Cell[16371514, 384120, 1875, 56, 128, "Input",ExpressionUUID->"c985275f-ef22-4f69-b6cc-ef3826053597"], -Cell[16373392, 384178, 672, 19, 43, "Output",ExpressionUUID->"898aac3e-1016-4685-a14a-61fdedacf977"], -Cell[16374067, 384199, 674, 19, 43, "Output",ExpressionUUID->"132f03f3-ad06-4c9d-aac7-3f9d9f66b481"] +Cell[16371760, 384123, 1875, 56, 128, "Input",ExpressionUUID->"c985275f-ef22-4f69-b6cc-ef3826053597"], +Cell[16373638, 384181, 672, 19, 43, "Output",ExpressionUUID->"898aac3e-1016-4685-a14a-61fdedacf977"], +Cell[16374313, 384202, 674, 19, 43, "Output",ExpressionUUID->"132f03f3-ad06-4c9d-aac7-3f9d9f66b481"] }, Open ]], Cell[CellGroupData[{ -Cell[16374778, 384223, 1875, 57, 159, "Input",ExpressionUUID->"dca1f039-5557-487f-84a9-a8d0593e13b8"], -Cell[16376656, 384282, 262, 5, 42, "Output",ExpressionUUID->"3134ce42-00f8-4572-ab03-8c4f079f2642"], -Cell[16376921, 384289, 260, 5, 42, "Output",ExpressionUUID->"0963bb54-ec43-4bfe-a391-e16b76eeb60a"] +Cell[16375024, 384226, 1875, 57, 159, "Input",ExpressionUUID->"dca1f039-5557-487f-84a9-a8d0593e13b8"], +Cell[16376902, 384285, 262, 5, 42, "Output",ExpressionUUID->"3134ce42-00f8-4572-ab03-8c4f079f2642"], +Cell[16377167, 384292, 260, 5, 42, "Output",ExpressionUUID->"0963bb54-ec43-4bfe-a391-e16b76eeb60a"] }, Open ]], Cell[CellGroupData[{ -Cell[16377218, 384299, 268, 5, 37, "Input",ExpressionUUID->"260825fc-6f14-4563-abdf-cc6efaff9248"], -Cell[16377489, 384306, 219, 4, 42, "Output",ExpressionUUID->"b633d784-e906-43ee-a7a0-429a7dd7461b"] +Cell[16377464, 384302, 268, 5, 37, "Input",ExpressionUUID->"260825fc-6f14-4563-abdf-cc6efaff9248"], +Cell[16377735, 384309, 219, 4, 42, "Output",ExpressionUUID->"b633d784-e906-43ee-a7a0-429a7dd7461b"] }, Open ]], -Cell[16377723, 384313, 159, 3, 37, "Input",ExpressionUUID->"5fc45f6b-6f0f-4bda-a789-8f5d1948060d"], +Cell[16377969, 384316, 159, 3, 37, "Input",ExpressionUUID->"5fc45f6b-6f0f-4bda-a789-8f5d1948060d"], Cell[CellGroupData[{ -Cell[16377907, 384320, 221, 4, 37, "Input",ExpressionUUID->"9863fdf6-bc1e-47aa-a3e5-292ea3e209b0"], -Cell[16378131, 384326, 173, 3, 42, "Output",ExpressionUUID->"d132a5b0-7803-4330-989e-9828b19a8db2"] +Cell[16378153, 384323, 221, 4, 37, "Input",ExpressionUUID->"9863fdf6-bc1e-47aa-a3e5-292ea3e209b0"], +Cell[16378377, 384329, 173, 3, 42, "Output",ExpressionUUID->"d132a5b0-7803-4330-989e-9828b19a8db2"] }, Open ]] }, Closed]], Cell[CellGroupData[{ -Cell[16378353, 384335, 161, 3, 65, "Section",ExpressionUUID->"aa4e43b6-16bb-48ec-b510-dd62918a249d"], +Cell[16378599, 384338, 161, 3, 65, "Section",ExpressionUUID->"aa4e43b6-16bb-48ec-b510-dd62918a249d"], Cell[CellGroupData[{ -Cell[16378539, 384342, 11273, 236, 1325, "Input",ExpressionUUID->"74a386fd-d786-4f8e-ac35-d0ff9ba081f6"], -Cell[16389815, 384580, 9389, 154, 1030, "Output",ExpressionUUID->"d7d06b61-0933-4088-93bd-8e0fba5dd977"] +Cell[16378785, 384345, 11273, 236, 1325, "Input",ExpressionUUID->"74a386fd-d786-4f8e-ac35-d0ff9ba081f6"], +Cell[16390061, 384583, 9389, 154, 1030, "Output",ExpressionUUID->"d7d06b61-0933-4088-93bd-8e0fba5dd977"] }, Open ]] }, Closed]], Cell[CellGroupData[{ -Cell[16399253, 384740, 150, 3, 65, "Section",ExpressionUUID->"c824cb5c-4a52-46d1-a35a-851caf0c9277"], -Cell[16399406, 384745, 1419, 28, 301, "Input",ExpressionUUID->"1977eb38-bd98-44a0-b57c-ac589cd31f23"], -Cell[16400828, 384775, 12114, 324, 668, "Input",ExpressionUUID->"0f03b54b-50d6-4328-8dde-389d9edd0376"], -Cell[16412945, 385101, 1300, 31, 37, "Input",ExpressionUUID->"f9acf0a4-3da8-429b-a594-2c21c54c53a9"], +Cell[16399499, 384743, 150, 3, 65, "Section",ExpressionUUID->"c824cb5c-4a52-46d1-a35a-851caf0c9277"], +Cell[16399652, 384748, 1419, 28, 301, "Input",ExpressionUUID->"1977eb38-bd98-44a0-b57c-ac589cd31f23"], +Cell[16401074, 384778, 12114, 324, 668, "Input",ExpressionUUID->"0f03b54b-50d6-4328-8dde-389d9edd0376"], +Cell[16413191, 385104, 1300, 31, 37, "Input",ExpressionUUID->"f9acf0a4-3da8-429b-a594-2c21c54c53a9"], Cell[CellGroupData[{ -Cell[16414270, 385136, 4298, 78, 432, "Input",ExpressionUUID->"c1b071fe-431f-4cdf-b065-c9836c7cb530"], -Cell[16418571, 385216, 102236, 1839, 303, "Output",ExpressionUUID->"c24db48e-2ebb-490a-847c-3a363ebc6a9a"] +Cell[16414516, 385139, 4298, 78, 432, "Input",ExpressionUUID->"c1b071fe-431f-4cdf-b065-c9836c7cb530"], +Cell[16418817, 385219, 102236, 1839, 303, "Output",ExpressionUUID->"c24db48e-2ebb-490a-847c-3a363ebc6a9a"] }, Open ]], Cell[CellGroupData[{ -Cell[16520844, 387060, 2861, 59, 432, "Input",ExpressionUUID->"285de9b9-fad2-431d-b993-6b52467af553"], -Cell[16523708, 387121, 99966, 1795, 337, "Output",ExpressionUUID->"b209fd1f-70c0-44b8-baa1-af339becdef7"] +Cell[16521090, 387063, 2861, 59, 432, "Input",ExpressionUUID->"285de9b9-fad2-431d-b993-6b52467af553"], +Cell[16523954, 387124, 99966, 1795, 337, "Output",ExpressionUUID->"b209fd1f-70c0-44b8-baa1-af339becdef7"] }, Open ]], -Cell[16623689, 388919, 948, 28, 56, "Input",ExpressionUUID->"849f5a6b-f177-4c34-b90a-a4a0f595ff32"], +Cell[16623935, 388922, 948, 28, 56, "Input",ExpressionUUID->"849f5a6b-f177-4c34-b90a-a4a0f595ff32"], Cell[CellGroupData[{ -Cell[16624662, 388951, 2275, 55, 285, "Input",ExpressionUUID->"696ad044-0be9-4ed4-802d-4bc2dd39d586"], -Cell[16626940, 389008, 18090, 337, 293, "Output",ExpressionUUID->"67c34fe1-8f0f-4856-977a-5ae9dee5e12c"] +Cell[16624908, 388954, 2275, 55, 285, "Input",ExpressionUUID->"696ad044-0be9-4ed4-802d-4bc2dd39d586"], +Cell[16627186, 389011, 18090, 337, 293, "Output",ExpressionUUID->"67c34fe1-8f0f-4856-977a-5ae9dee5e12c"] }, Open ]], -Cell[16645045, 389348, 969, 25, 37, "Input",ExpressionUUID->"44fe23db-72cc-451a-a798-d806f5062a38"], +Cell[16645291, 389351, 969, 25, 37, "Input",ExpressionUUID->"44fe23db-72cc-451a-a798-d806f5062a38"], Cell[CellGroupData[{ -Cell[16646039, 389377, 1873, 45, 100, "Input",ExpressionUUID->"9cabf5e4-e77b-4ef2-aa57-94f5f5a8cbcb"], -Cell[16647915, 389424, 937, 18, 42, "Output",ExpressionUUID->"c4d5735f-bbcd-4f79-b8ac-3772f744e604"] +Cell[16646285, 389380, 1873, 45, 100, "Input",ExpressionUUID->"9cabf5e4-e77b-4ef2-aa57-94f5f5a8cbcb"], +Cell[16648161, 389427, 937, 18, 42, "Output",ExpressionUUID->"c4d5735f-bbcd-4f79-b8ac-3772f744e604"] }, Open ]], Cell[CellGroupData[{ -Cell[16648889, 389447, 4172, 93, 626, "Input",ExpressionUUID->"b0fad934-cdc2-48f8-b42d-e1d50d885bea"], -Cell[16653064, 389542, 63385, 1110, 290, "Output",ExpressionUUID->"7f805117-2275-4fc2-af8f-a768f2c22eab"] +Cell[16649135, 389450, 4172, 93, 626, "Input",ExpressionUUID->"b0fad934-cdc2-48f8-b42d-e1d50d885bea"], +Cell[16653310, 389545, 63385, 1110, 290, "Output",ExpressionUUID->"7f805117-2275-4fc2-af8f-a768f2c22eab"] }, Open ]], Cell[CellGroupData[{ -Cell[16716486, 390657, 992, 29, 70, "Input",ExpressionUUID->"0cd8ad00-b6e1-42c2-a5bd-2c4292c8a2c3"], -Cell[16717481, 390688, 498, 14, 42, "Output",ExpressionUUID->"8d821a0a-d7b4-4f2d-85e7-13b1146b12ba"] +Cell[16716732, 390660, 992, 29, 70, "Input",ExpressionUUID->"0cd8ad00-b6e1-42c2-a5bd-2c4292c8a2c3"], +Cell[16717727, 390691, 498, 14, 42, "Output",ExpressionUUID->"8d821a0a-d7b4-4f2d-85e7-13b1146b12ba"] }, Open ]] }, Closed]] }, Open ]] diff --git a/Manuscript/Ew_H2.pdf b/Manuscript/Ew_H2.pdf index e9a3b5f..7b18bfe 100644 Binary files a/Manuscript/Ew_H2.pdf and b/Manuscript/Ew_H2.pdf differ diff --git a/Manuscript/FarDFT.tex b/Manuscript/FarDFT.tex index 2435a16..f7bc6b4 100644 --- a/Manuscript/FarDFT.tex +++ b/Manuscript/FarDFT.tex @@ -624,7 +624,7 @@ In the case of \ce{H2}, the ensemble is composed by the $\Sigma_g^+$ ground stat The ensemble energy $\E{}{\ew{}}$ is depicted in Fig.~\ref{fig:Ew_H2} as a function of weight $0 \le \ew{} \le 1/3$ (blue curve). Because the Slater exchange functional defined in Eq.~\eqref{eq:Slater} does not depend on the ensemble weight, there is no contribution from the ensemble derivative term [last term in Eq.~\eqref{eq:dEdw}]. As anticipated, $\E{}{\ew{}}$ is far from being linear, which means that the excitation energy associated with the doubly-excited state obtained via the derivative of the ensemble energy varies significantly with $\ew{}$ (see blue curve in Fig.~\ref{fig:Om_H2}). -Taking as a reference the full configuration interaction (FCI) value of $28.75$ eV obtained with the aug-mcc-pV8Z basis set, \cite{Barca_2018a} one can see that the excitation energy varies by more than $7$ eV from $\ew{} = 0$ to $1/3$. +Taking as a reference the full configuration interaction (FCI) value of $28.75$ eV obtained with the aug-mcc-pV8Z basis set, \cite{Barca_2018a} one can see that the excitation energy varies by more than $8$ eV from $\ew{} = 0$ to $1/3$. Note that the exact xc ensemble functional would yield a perfectly linear energy and, hence, the same value of the excitation energy independently of the ensemble weights. \begin{figure} diff --git a/Manuscript/Om_H2.pdf b/Manuscript/Om_H2.pdf index efb8a70..169a8e4 100644 Binary files a/Manuscript/Om_H2.pdf and b/Manuscript/Om_H2.pdf differ