CBD/SF-TDDFT/wB97X-V/AVDZ
2021-02-01 15:17:49 +01:00
..
CBD_sf_td_wB97X_V_avdz.inp input and output 2021-02-01 15:17:49 +01:00
CBD_sf_td_wB97X_V_avdz.log input and output 2021-02-01 15:17:49 +01:00