swap source and test files. #51

This commit is contained in:
v1j4y 2021-11-09 16:17:10 +01:00
parent 2b3f1f7bf6
commit fd0455cc32
1 changed files with 2 additions and 2 deletions

View File

@ -103,8 +103,8 @@ if VFC_CI
AM_LDFLAGS=-lvfc_probes -lvfc_probes_f
endif
dist_data_DATA = $(ORG_FILES) $(TANGLED_FILES) $(EXPORTED_FILES) $(qmckl_f)
dist_src_DATA = $(test_qmckl_f)
dist_data_DATA = $(ORG_FILES) $(TANGLED_FILES) $(EXPORTED_FILES) $(test_qmckl_f)
dist_src_DATA = $(qmckl_f)
BUILT_SOURCES = $(C_FILES) $(F_FILES) $(FH_FUNC_FILES) $(FH_TYPE_FILES) $(H_FUNC_FILES) $(H_TYPE_FILES) $(H_PRIVATE_FUNC_FILES) $(H_PRIVATE_TYPE_FILES) $(qmckl_f) $(src_qmckl_f) $(test_qmckl_f) $(qmckl_h) $(header_tests)