10
0
mirror of https://github.com/LCPQ/quantum_package synced 2024-09-27 12:00:56 +02:00
quantum_package/docs/source/programmers_guide/index_providers.rst

1358 lines
41 KiB
ReStructuredText
Raw Normal View History

2018-11-26 13:45:32 +01:00
Index of Providers
------------------
* :c:data:`abs_psi_coef_max`
* :c:data:`abs_psi_coef_min`
2018-12-18 17:30:16 +01:00
* :c:data:`active_excitation_to_determinants_idx`
* :c:data:`active_excitation_to_determinants_val`
* :c:data:`active_hh_idx`
* :c:data:`active_pp_idx`
2018-11-26 13:45:32 +01:00
* :c:data:`ao_bi_elec_integral_alpha`
* :c:data:`ao_bi_elec_integral_beta`
* :c:data:`ao_bielec_integral_schwartz`
* :c:data:`ao_bielec_integrals_in_map`
* :c:data:`ao_cart_to_sphe_coef`
* :c:data:`ao_cart_to_sphe_inv`
* :c:data:`ao_cart_to_sphe_num`
* :c:data:`ao_cart_to_sphe_overlap`
* :c:data:`ao_cartesian`
* :c:data:`ao_coef`
* :c:data:`ao_coef_normalization_factor`
* :c:data:`ao_coef_normalization_libint_factor`
* :c:data:`ao_coef_normalized`
* :c:data:`ao_coef_normalized_ordered`
* :c:data:`ao_coef_normalized_ordered_transp`
* :c:data:`ao_deriv2_x`
* :c:data:`ao_deriv2_y`
* :c:data:`ao_deriv2_z`
* :c:data:`ao_deriv_1_x`
* :c:data:`ao_deriv_1_y`
* :c:data:`ao_deriv_1_z`
* :c:data:`ao_dipole_x`
* :c:data:`ao_dipole_y`
* :c:data:`ao_dipole_z`
* :c:data:`ao_expo`
* :c:data:`ao_expo_ordered`
* :c:data:`ao_expo_ordered_transp`
* :c:data:`ao_integrals_cache`
* :c:data:`ao_integrals_cache_max`
* :c:data:`ao_integrals_cache_min`
* :c:data:`ao_integrals_map`
* :c:data:`ao_integrals_threshold`
* :c:data:`ao_kinetic_integral`
* :c:data:`ao_l`
* :c:data:`ao_l_char`
* :c:data:`ao_l_char_space`
* :c:data:`ao_l_max`
* :c:data:`ao_md5`
* :c:data:`ao_mono_elec_integral`
* :c:data:`ao_mono_elec_integral_diag`
* :c:data:`ao_nucl`
* :c:data:`ao_nucl_elec_integral`
* :c:data:`ao_nucl_elec_integral_per_atom`
* :c:data:`ao_num`
* :c:data:`ao_ortho_canonical_coef`
* :c:data:`ao_ortho_canonical_coef_inv`
* :c:data:`ao_ortho_canonical_nucl_elec_integral`
* :c:data:`ao_ortho_canonical_num`
* :c:data:`ao_ortho_canonical_overlap`
* :c:data:`ao_ortho_lowdin_coef`
* :c:data:`ao_ortho_lowdin_nucl_elec_integral`
* :c:data:`ao_ortho_lowdin_overlap`
* :c:data:`ao_overlap`
* :c:data:`ao_overlap_abs`
* :c:data:`ao_overlap_x`
* :c:data:`ao_overlap_y`
* :c:data:`ao_overlap_z`
* :c:data:`ao_power`
* :c:data:`ao_prim_num`
* :c:data:`ao_prim_num_max`
* :c:data:`ao_pseudo_integral`
* :c:data:`ao_pseudo_integral_local`
* :c:data:`ao_pseudo_integral_non_local`
* :c:data:`ao_spread_x`
* :c:data:`ao_spread_y`
* :c:data:`ao_spread_z`
* :c:data:`apply_exc_to_psi`
* :c:data:`barycentric_electronic_energy`
* :c:data:`bi_elec_ref_bitmask_energy`
* :c:data:`big_array_coulomb_integrals`
* :c:data:`big_array_exchange_integrals`
* :c:data:`binom`
* :c:data:`binom_int`
* :c:data:`binom_int_transp`
* :c:data:`binom_transp`
* :c:data:`c0_weight`
* :c:data:`cart_to_sphe_0`
* :c:data:`cart_to_sphe_1`
* :c:data:`cart_to_sphe_2`
* :c:data:`cart_to_sphe_3`
* :c:data:`cart_to_sphe_4`
* :c:data:`cart_to_sphe_5`
* :c:data:`cart_to_sphe_6`
* :c:data:`cart_to_sphe_7`
* :c:data:`cart_to_sphe_8`
* :c:data:`cart_to_sphe_9`
* :c:data:`cas_bitmask`
* :c:data:`center_of_mass`
* :c:data:`ci_dressed_pt2_new_eigenvectors`
* :c:data:`ci_dressed_pt2_new_eigenvectors_s2`
* :c:data:`ci_dressed_pt2_new_energy`
* :c:data:`ci_eigenvectors`
2018-12-18 17:30:16 +01:00
* :c:data:`ci_eigenvectors_dressed`
2018-11-26 13:45:32 +01:00
* :c:data:`ci_eigenvectors_s2`
2018-12-18 17:30:16 +01:00
* :c:data:`ci_eigenvectors_s2_dressed`
2018-11-26 13:45:32 +01:00
* :c:data:`ci_electronic_dressed_pt2_new_energy`
* :c:data:`ci_electronic_energy`
2018-12-18 17:30:16 +01:00
* :c:data:`ci_electronic_energy_dressed`
2018-11-26 13:45:32 +01:00
* :c:data:`ci_energy`
2018-12-18 17:30:16 +01:00
* :c:data:`ci_energy_dressed`
2018-11-26 13:45:32 +01:00
* :c:data:`closed_shell_ref_bitmask`
* :c:data:`coef_hf_selector`
* :c:data:`core_bitmask`
* :c:data:`core_energy`
* :c:data:`core_fock_operator`
* :c:data:`core_inact_act_bitmask_4`
* :c:data:`core_inact_virt_bitmask`
* :c:data:`corr_e_from_1h1p`
* :c:data:`correlation_energy_ratio_max`
* :c:data:`davidson_criterion`
* :c:data:`davidson_sze_max`
* :c:data:`degree_max_generators`
* :c:data:`degree_max_integration_lebedev`
* :c:data:`delta_e_per_selector`
2018-12-18 17:30:16 +01:00
* :c:data:`delta_ij`
2018-11-26 13:45:32 +01:00
* :c:data:`delta_ij_mrpt`
2018-12-18 17:30:16 +01:00
* :c:data:`delta_ij_tmp`
2018-11-26 13:45:32 +01:00
* :c:data:`det_alpha_norm`
* :c:data:`det_beta_norm`
* :c:data:`det_to_occ_pattern`
2018-12-18 17:30:16 +01:00
* :c:data:`dia_hla_`
* :c:data:`dia_sla_`
2018-11-26 13:45:32 +01:00
* :c:data:`diag_algorithm`
* :c:data:`diagonal_h_matrix_on_psi_det`
2018-12-18 17:30:16 +01:00
* :c:data:`dij`
* :c:data:`dij_unique`
2018-11-26 13:45:32 +01:00
* :c:data:`disk_access_ao_integrals`
* :c:data:`disk_access_ao_one_integrals`
* :c:data:`disk_access_mo_integrals`
* :c:data:`disk_access_mo_one_integrals`
* :c:data:`disk_access_nuclear_repulsion`
* :c:data:`disk_based_davidson`
* :c:data:`distributed_davidson`
* :c:data:`do_direct_integrals`
* :c:data:`do_pseudo`
* :c:data:`do_pt2`
* :c:data:`do_third_order_1h1p`
* :c:data:`double_exc_bitmask`
* :c:data:`double_index_selectors`
2018-12-18 17:30:16 +01:00
* :c:data:`dress_dot_f`
* :c:data:`dress_dot_n_0`
* :c:data:`dress_dot_t`
* :c:data:`dress_e`
* :c:data:`dress_e0_denominator`
* :c:data:`dress_m_m`
* :c:data:`dress_m_mi`
* :c:data:`dress_n_cp`
* :c:data:`dress_n_cp_max`
* :c:data:`dress_p`
* :c:data:`dress_r1`
* :c:data:`dress_r1_`
* :c:data:`dress_relative_error`
* :c:data:`dress_stoch_istate`
* :c:data:`dress_t`
2018-11-26 13:45:32 +01:00
* :c:data:`dressed_column_idx`
* :c:data:`dressing_column_h`
* :c:data:`dressing_column_s`
* :c:data:`dtranspose`
* :c:data:`e_corr_double_only`
* :c:data:`e_corr_per_selectors`
* :c:data:`e_corr_second_order`
* :c:data:`eigenvalues_fock_matrix_ao`
* :c:data:`eigenvectors_fock_matrix_ao`
* :c:data:`eigenvectors_fock_matrix_mo`
* :c:data:`elec_alpha_num`
* :c:data:`elec_beta_num`
* :c:data:`elec_num`
* :c:data:`elec_num_tab`
* :c:data:`element_mass`
* :c:data:`element_name`
* :c:data:`energy_cas_dyall`
* :c:data:`energy_cas_dyall_no_exchange`
* :c:data:`energy_iterations`
* :c:data:`exc_degree_per_selectors`
2018-12-18 17:30:16 +01:00
* :c:data:`excs_`
2018-11-26 13:45:32 +01:00
* :c:data:`expected_s2`
* :c:data:`extrapolate_fock_matrix`
* :c:data:`extrapolated_energy`
* :c:data:`ezfio_filename`
* :c:data:`ezfio_work_dir`
* :c:data:`fact_inv`
* :c:data:`fill_h_apply_buffer_selection`
* :c:data:`fock_core_inactive`
* :c:data:`fock_core_inactive_from_act`
* :c:data:`fock_core_inactive_total`
* :c:data:`fock_core_inactive_total_spin_trace`
* :c:data:`fock_matrix_ao`
* :c:data:`fock_matrix_ao_alpha`
* :c:data:`fock_matrix_ao_beta`
* :c:data:`fock_matrix_diag_mo`
* :c:data:`fock_matrix_mo`
* :c:data:`fock_matrix_mo_alpha`
* :c:data:`fock_matrix_mo_beta`
* :c:data:`fock_operator_active_from_core_inact`
* :c:data:`fock_operator_closed_shell_ref_bitmask`
* :c:data:`fock_virt_from_act`
* :c:data:`fock_virt_from_core_inact`
* :c:data:`fock_virt_total`
* :c:data:`fock_virt_total_spin_trace`
* :c:data:`fps_spf_matrix_ao`
* :c:data:`fps_spf_matrix_mo`
* :c:data:`full_ijkl_bitmask`
* :c:data:`full_ijkl_bitmask_4`
* :c:data:`gauleg_t2`
* :c:data:`gauleg_w`
* :c:data:`gen_det_ref_idx`
* :c:data:`gen_det_ref_shortcut`
* :c:data:`gen_det_ref_sorted`
* :c:data:`gen_det_ref_version`
* :c:data:`general_primitive_integral`
* :c:data:`generators_bitmask`
* :c:data:`generators_bitmask_restart`
* :c:data:`give_holes_and_particles_in_active_space`
* :c:data:`h0_type`
* :c:data:`h_apply_buffer_allocated`
* :c:data:`h_apply_buffer_lock`
* :c:data:`h_matrix_all_dets`
* :c:data:`h_matrix_cas`
2018-12-18 17:30:16 +01:00
* :c:data:`h_matrix_dressed`
2018-11-26 13:45:32 +01:00
* :c:data:`h_matrix_ref`
2018-12-18 17:30:16 +01:00
* :c:data:`has_a_unique_parent`
2018-11-26 13:45:32 +01:00
* :c:data:`hf_bitmask`
* :c:data:`hf_density_matrix_ao`
* :c:data:`hf_density_matrix_ao_alpha`
* :c:data:`hf_density_matrix_ao_beta`
* :c:data:`hf_energy`
2018-12-18 17:30:16 +01:00
* :c:data:`hh_exists`
* :c:data:`hh_nex`
* :c:data:`hh_shortcut`
* :c:data:`hij_cache_`
* :c:data:`hij_mrcc`
2018-11-26 13:45:32 +01:00
* :c:data:`hmatrix_dressed_pt2_new`
* :c:data:`hmatrix_dressed_pt2_new_symmetrized`
* :c:data:`holes_operators`
* :c:data:`i2radix_sort`
* :c:data:`i8radix_sort`
* :c:data:`i8radix_sort_big`
* :c:data:`i_bitmask_gen`
* :c:data:`i_h_hf_per_selectors`
* :c:data:`i_x1_new`
* :c:data:`i_x1_pol_mult_a1`
* :c:data:`i_x1_pol_mult_a2`
* :c:data:`i_x1_pol_mult_mono_elec`
* :c:data:`i_x1_pol_mult_recurs`
* :c:data:`i_x2_new`
* :c:data:`i_x2_pol_mult`
* :c:data:`i_x2_pol_mult_mono_elec`
2018-12-18 17:30:16 +01:00
* :c:data:`idx_buf`
2018-11-26 13:45:32 +01:00
* :c:data:`idx_cas`
* :c:data:`idx_non_cas`
* :c:data:`idx_non_ref`
* :c:data:`idx_non_ref_from_sorted`
* :c:data:`idx_non_ref_rev`
* :c:data:`idx_ref`
* :c:data:`inact_bitmask`
* :c:data:`inact_virt_bitmask`
* :c:data:`index_holes_bitmask`
* :c:data:`index_particl_bitmask`
* :c:data:`inertia_tensor`
* :c:data:`inertia_tensor_eigenvalues`
* :c:data:`inertia_tensor_eigenvectors`
2018-12-18 17:30:16 +01:00
* :c:data:`initialize_dress_e0_denominator`
2018-11-26 13:45:32 +01:00
* :c:data:`initialize_pt2_e0_denominator`
* :c:data:`insert_into_mo_integrals_map`
* :c:data:`inv_int`
* :c:data:`inv_norm_psi_ref`
* :c:data:`inv_selectors_coef_hf`
* :c:data:`inv_selectors_coef_hf_squared`
* :c:data:`iradix_sort`
* :c:data:`iradix_sort_big`
2018-12-18 17:30:16 +01:00
* :c:data:`is_active_exc`
2018-11-26 13:45:32 +01:00
* :c:data:`kinetic_ref_bitmask_energy`
* :c:data:`l3_weight`
* :c:data:`l_to_charater`
2018-12-18 17:30:16 +01:00
* :c:data:`lambda_mrcc`
* :c:data:`lambda_mrcc_kept`
* :c:data:`lambda_mrcc_pt2`
* :c:data:`lambda_type`
2018-11-26 13:45:32 +01:00
* :c:data:`level_shift`
* :c:data:`list_act`
* :c:data:`list_act_reverse`
* :c:data:`list_core`
* :c:data:`list_core_inact`
* :c:data:`list_core_inact_act`
* :c:data:`list_core_inact_act_reverse`
* :c:data:`list_core_inact_reverse`
* :c:data:`list_core_reverse`
* :c:data:`list_inact`
* :c:data:`list_inact_reverse`
* :c:data:`list_virt`
* :c:data:`list_virt_reverse`
* :c:data:`max_degree_exc`
* :c:data:`max_dim_diis`
* :c:data:`max_exc_pert`
* :c:data:`mo_bielec_integral_jj`
* :c:data:`mo_bielec_integral_jj_anti`
* :c:data:`mo_bielec_integral_jj_anti_from_ao`
* :c:data:`mo_bielec_integral_jj_exchange`
* :c:data:`mo_bielec_integral_jj_exchange_from_ao`
* :c:data:`mo_bielec_integral_jj_from_ao`
* :c:data:`mo_bielec_integral_vv_anti_from_ao`
* :c:data:`mo_bielec_integral_vv_exchange_from_ao`
* :c:data:`mo_bielec_integral_vv_from_ao`
* :c:data:`mo_bielec_integrals_in_map`
* :c:data:`mo_class`
* :c:data:`mo_coef`
* :c:data:`mo_coef_in_ao_ortho_basis`
* :c:data:`mo_coef_transp`
* :c:data:`mo_dipole_x`
* :c:data:`mo_dipole_y`
* :c:data:`mo_dipole_z`
* :c:data:`mo_energy_expval`
* :c:data:`mo_guess_type`
* :c:data:`mo_integrals_cache`
* :c:data:`mo_integrals_cache_max`
* :c:data:`mo_integrals_cache_max_8`
* :c:data:`mo_integrals_cache_min`
* :c:data:`mo_integrals_cache_min_8`
* :c:data:`mo_integrals_map`
* :c:data:`mo_integrals_threshold`
* :c:data:`mo_kinetic_integral`
* :c:data:`mo_label`
* :c:data:`mo_mono_elec_integral`
* :c:data:`mo_nucl_elec_integral`
* :c:data:`mo_nucl_elec_integral_per_atom`
* :c:data:`mo_num`
* :c:data:`mo_occ`
* :c:data:`mo_overlap`
* :c:data:`mo_pseudo_integral`
* :c:data:`mo_spread_x`
* :c:data:`mo_spread_y`
* :c:data:`mo_spread_z`
* :c:data:`mo_tot_num`
* :c:data:`mono_elec_ref_bitmask_energy`
* :c:data:`mpi_bit_kind`
* :c:data:`mpi_initialized`
* :c:data:`mpi_master`
* :c:data:`mpi_rank`
* :c:data:`mpi_size`
2018-12-18 17:30:16 +01:00
* :c:data:`mrcc_ata_ind`
* :c:data:`mrcc_ata_val`
* :c:data:`mrcc_col_shortcut`
* :c:data:`mrcc_n_col`
* :c:data:`mrmode`
2018-11-26 13:45:32 +01:00
* :c:data:`n_act_orb`
* :c:data:`n_aos_max`
* :c:data:`n_cas_bitmask`
* :c:data:`n_core_inact_act_orb`
* :c:data:`n_core_inact_orb`
* :c:data:`n_core_orb`
* :c:data:`n_core_orb_allocate`
* :c:data:`n_det`
* :c:data:`n_det_alpha_unique`
* :c:data:`n_det_beta_unique`
* :c:data:`n_det_cas`
2018-12-18 17:30:16 +01:00
* :c:data:`n_det_delta_ij`
2018-11-26 13:45:32 +01:00
* :c:data:`n_det_generators`
* :c:data:`n_det_iterations`
* :c:data:`n_det_max`
* :c:data:`n_det_max_full`
* :c:data:`n_det_non_cas`
* :c:data:`n_det_non_ref`
* :c:data:`n_det_ref`
* :c:data:`n_det_selectors`
* :c:data:`n_double_exc_bitmasks`
* :c:data:`n_double_selectors`
2018-12-18 17:30:16 +01:00
* :c:data:`n_ex_exists`
* :c:data:`n_exc_active`
* :c:data:`n_exc_active_sze`
2018-11-26 13:45:32 +01:00
* :c:data:`n_generators_bitmask`
* :c:data:`n_generators_bitmask_restart`
2018-12-18 17:30:16 +01:00
* :c:data:`n_hh_exists`
2018-11-26 13:45:32 +01:00
* :c:data:`n_inact_orb`
* :c:data:`n_inact_orb_allocate`
* :c:data:`n_int`
2018-12-18 17:30:16 +01:00
* :c:data:`n_it_max_dressed_ci`
2018-11-26 13:45:32 +01:00
* :c:data:`n_it_scf_max`
* :c:data:`n_iter`
* :c:data:`n_occ_pattern`
* :c:data:`n_points_integration_angular_lebedev`
2018-12-18 17:30:16 +01:00
* :c:data:`n_pp_exists`
2018-11-26 13:45:32 +01:00
* :c:data:`n_pt_max_i_x`
* :c:data:`n_pt_max_integrals`
* :c:data:`n_single_exc_bitmasks`
* :c:data:`n_states`
* :c:data:`n_states_diag`
* :c:data:`n_virt_orb`
* :c:data:`n_virt_orb_allocate`
* :c:data:`no_ivvv_integrals`
* :c:data:`no_oa_or_av_opt`
* :c:data:`no_vvv_integrals`
* :c:data:`no_vvvv_integrals`
* :c:data:`norm_psi_ref`
* :c:data:`nproc`
* :c:data:`nthreads_davidson`
* :c:data:`nucl_aos`
* :c:data:`nucl_charge`
* :c:data:`nucl_charge_remove`
* :c:data:`nucl_coord`
* :c:data:`nucl_coord_transp`
* :c:data:`nucl_dist`
* :c:data:`nucl_dist_2`
* :c:data:`nucl_dist_vec_x`
* :c:data:`nucl_dist_vec_y`
* :c:data:`nucl_dist_vec_z`
* :c:data:`nucl_elec_ref_bitmask_energy`
* :c:data:`nucl_label`
* :c:data:`nucl_list_shell_aos`
* :c:data:`nucl_n_aos`
* :c:data:`nucl_num`
* :c:data:`nucl_num_shell_aos`
* :c:data:`nuclear_repulsion`
* :c:data:`one_anhil`
* :c:data:`one_anhil_inact`
* :c:data:`one_anhil_one_creat`
* :c:data:`one_anhil_one_creat_inact_virt`
* :c:data:`one_anhil_one_creat_inact_virt_bis`
* :c:data:`one_anhil_one_creat_inact_virt_norm`
* :c:data:`one_body_dm_ao_alpha`
* :c:data:`one_body_dm_ao_beta`
* :c:data:`one_body_dm_dagger_mo_spin_index`
* :c:data:`one_body_dm_mo`
* :c:data:`one_body_dm_mo_alpha`
* :c:data:`one_body_dm_mo_alpha_average`
* :c:data:`one_body_dm_mo_alpha_old`
* :c:data:`one_body_dm_mo_beta`
* :c:data:`one_body_dm_mo_beta_average`
* :c:data:`one_body_dm_mo_beta_old`
* :c:data:`one_body_dm_mo_diff`
* :c:data:`one_body_dm_mo_spin_index`
* :c:data:`one_body_single_double_dm_mo_alpha`
* :c:data:`one_body_single_double_dm_mo_beta`
* :c:data:`one_body_spin_density_ao`
* :c:data:`one_body_spin_density_mo`
* :c:data:`one_creat`
* :c:data:`one_creat_virt`
2018-12-18 17:30:16 +01:00
* :c:data:`only_expected_s2`
2018-11-26 13:45:32 +01:00
* :c:data:`output_cpu_time_0`
* :c:data:`output_wall_time_0`
* :c:data:`overlap_gaussian_xyz`
* :c:data:`particles_operators`
2018-12-18 17:30:16 +01:00
* :c:data:`perturbative_triples`
* :c:data:`phases_`
2018-11-26 13:45:32 +01:00
* :c:data:`phi_angular_integration_lebedev`
* :c:data:`positive_charge_barycentre`
2018-12-18 17:30:16 +01:00
* :c:data:`pp_exists`
2018-11-26 13:45:32 +01:00
* :c:data:`pseudo_dz_k`
* :c:data:`pseudo_dz_k_transp`
* :c:data:`pseudo_dz_kl`
* :c:data:`pseudo_dz_kl_transp`
* :c:data:`pseudo_grid_rmax`
* :c:data:`pseudo_grid_size`
* :c:data:`pseudo_klocmax`
* :c:data:`pseudo_kmax`
* :c:data:`pseudo_lmax`
* :c:data:`pseudo_n_k`
* :c:data:`pseudo_n_k_transp`
* :c:data:`pseudo_n_kl`
* :c:data:`pseudo_n_kl_transp`
* :c:data:`pseudo_v_k`
* :c:data:`pseudo_v_k_transp`
* :c:data:`pseudo_v_kl`
* :c:data:`pseudo_v_kl_transp`
* :c:data:`psi_active`
* :c:data:`psi_average_norm_contrib`
* :c:data:`psi_average_norm_contrib_sorted`
* :c:data:`psi_bilinear_matrix`
* :c:data:`psi_bilinear_matrix_columns`
* :c:data:`psi_bilinear_matrix_columns_loc`
* :c:data:`psi_bilinear_matrix_order`
* :c:data:`psi_bilinear_matrix_order_reverse`
* :c:data:`psi_bilinear_matrix_order_transp_reverse`
* :c:data:`psi_bilinear_matrix_rows`
* :c:data:`psi_bilinear_matrix_transp_columns`
* :c:data:`psi_bilinear_matrix_transp_order`
* :c:data:`psi_bilinear_matrix_transp_rows`
* :c:data:`psi_bilinear_matrix_transp_rows_loc`
* :c:data:`psi_bilinear_matrix_transp_values`
* :c:data:`psi_bilinear_matrix_values`
* :c:data:`psi_cas`
* :c:data:`psi_cas_coef`
* :c:data:`psi_cas_coef_sorted_bit`
* :c:data:`psi_cas_energy`
* :c:data:`psi_cas_energy_diagonalized`
* :c:data:`psi_cas_sorted_bit`
* :c:data:`psi_coef`
* :c:data:`psi_coef_cas_diagonalized`
* :c:data:`psi_coef_generators`
* :c:data:`psi_coef_max`
* :c:data:`psi_coef_min`
* :c:data:`psi_coef_sorted`
* :c:data:`psi_coef_sorted_bit`
* :c:data:`psi_coef_sorted_gen`
* :c:data:`psi_det`
* :c:data:`psi_det_alpha`
* :c:data:`psi_det_alpha_unique`
* :c:data:`psi_det_beta`
* :c:data:`psi_det_beta_unique`
* :c:data:`psi_det_generators`
* :c:data:`psi_det_hii`
* :c:data:`psi_det_size`
* :c:data:`psi_det_sorted`
* :c:data:`psi_det_sorted_bit`
* :c:data:`psi_det_sorted_gen`
* :c:data:`psi_det_sorted_gen_order`
* :c:data:`psi_det_sorted_order`
* :c:data:`psi_energy`
* :c:data:`psi_energy_with_nucl_rep`
2018-12-18 17:30:16 +01:00
* :c:data:`psi_from_sorted_gen`
2018-11-26 13:45:32 +01:00
* :c:data:`psi_non_cas`
* :c:data:`psi_non_cas_coef`
* :c:data:`psi_non_cas_coef_sorted_bit`
* :c:data:`psi_non_cas_sorted_bit`
* :c:data:`psi_non_ref`
* :c:data:`psi_non_ref_coef`
* :c:data:`psi_non_ref_coef_interm_norm`
* :c:data:`psi_non_ref_coef_restart`
* :c:data:`psi_non_ref_coef_sorted_bit`
* :c:data:`psi_non_ref_coef_transp`
* :c:data:`psi_non_ref_restart`
2018-12-18 17:30:16 +01:00
* :c:data:`psi_non_ref_sorted`
2018-11-26 13:45:32 +01:00
* :c:data:`psi_non_ref_sorted_bit`
2018-12-18 17:30:16 +01:00
* :c:data:`psi_non_ref_sorted_idx`
2018-11-26 13:45:32 +01:00
* :c:data:`psi_occ_pattern`
* :c:data:`psi_occ_pattern_hii`
* :c:data:`psi_ref`
* :c:data:`psi_ref_bis_lock`
* :c:data:`psi_ref_coef`
* :c:data:`psi_ref_coef_diagonalized`
* :c:data:`psi_ref_coef_interm_norm`
* :c:data:`psi_ref_coef_inv`
* :c:data:`psi_ref_coef_normalized`
* :c:data:`psi_ref_coef_restart`
* :c:data:`psi_ref_coef_sorted_bit`
* :c:data:`psi_ref_coef_transp`
2018-12-18 17:30:16 +01:00
* :c:data:`psi_ref_detsorted`
* :c:data:`psi_ref_detsorted_idx`
2018-11-26 13:45:32 +01:00
* :c:data:`psi_ref_energy`
* :c:data:`psi_ref_energy_diagonalized`
2018-12-18 17:30:16 +01:00
* :c:data:`psi_ref_lock`
2018-11-26 13:45:32 +01:00
* :c:data:`psi_ref_restart`
* :c:data:`psi_ref_sorted_bit`
* :c:data:`psi_selectors`
* :c:data:`psi_selectors_coef`
* :c:data:`psi_selectors_coef_transp`
* :c:data:`psi_selectors_diag_h_mat`
* :c:data:`psi_selectors_size`
* :c:data:`pt2_cw`
* :c:data:`pt2_e0_denominator`
* :c:data:`pt2_f`
* :c:data:`pt2_iterations`
* :c:data:`pt2_j`
2018-12-18 17:30:16 +01:00
* :c:data:`pt2_j_`
2018-11-26 13:45:32 +01:00
* :c:data:`pt2_max`
* :c:data:`pt2_mindetinfirstteeth`
* :c:data:`pt2_n_0`
* :c:data:`pt2_n_tasks`
* :c:data:`pt2_n_tasks_max`
* :c:data:`pt2_n_teeth`
* :c:data:`pt2_r`
* :c:data:`pt2_relative_error`
* :c:data:`pt2_stoch_istate`
* :c:data:`pt2_u`
* :c:data:`pt2_u_0`
* :c:data:`pt2_w`
* :c:data:`pt2_w_t`
* :c:data:`qp_max_mem`
* :c:data:`qp_run_address`
* :c:data:`read_ao_integrals`
* :c:data:`read_ao_one_integrals`
* :c:data:`read_mo_integrals`
* :c:data:`read_mo_one_integrals`
* :c:data:`read_wf`
* :c:data:`rec__quicksort`
* :c:data:`rec_d_quicksort`
* :c:data:`rec_i2_quicksort`
* :c:data:`rec_i8_quicksort`
* :c:data:`rec_i_quicksort`
* :c:data:`ref_bitmask`
* :c:data:`ref_bitmask_energy`
* :c:data:`ref_closed_shell_bitmask`
* :c:data:`ref_hamiltonian_matrix`
* :c:data:`reunion_of_bitmask`
* :c:data:`reunion_of_cas_inact_bitmask`
* :c:data:`reunion_of_core_inact_act_bitmask`
* :c:data:`reunion_of_core_inact_bitmask`
2018-12-18 17:30:16 +01:00
* :c:data:`rho_mrcc`
2018-11-26 13:45:32 +01:00
* :c:data:`s2_eig`
* :c:data:`s2_values`
* :c:data:`s_half`
* :c:data:`s_half_inv`
* :c:data:`s_inv`
* :c:data:`s_mo_coef`
* :c:data:`s_z`
* :c:data:`s_z2_sz`
* :c:data:`scf_algorithm`
* :c:data:`second_order_pt_new`
* :c:data:`second_order_pt_new_1h`
* :c:data:`second_order_pt_new_1h1p`
* :c:data:`second_order_pt_new_1h2p`
* :c:data:`second_order_pt_new_1p`
* :c:data:`second_order_pt_new_2h`
* :c:data:`second_order_pt_new_2h1p`
* :c:data:`second_order_pt_new_2h2p`
* :c:data:`second_order_pt_new_2p`
* :c:data:`select_max`
* :c:data:`selection_criterion`
* :c:data:`selection_criterion_factor`
* :c:data:`selection_criterion_min`
2018-12-18 17:30:16 +01:00
* :c:data:`sij_cache_`
2018-11-26 13:45:32 +01:00
* :c:data:`single_exc_bitmask`
* :c:data:`singles_alpha_csc`
* :c:data:`singles_alpha_csc_idx`
* :c:data:`singles_alpha_csc_size`
* :c:data:`singles_alpha_size`
* :c:data:`size_select_max`
* :c:data:`slater_bragg_radii`
* :c:data:`slater_bragg_radii_per_atom`
* :c:data:`slater_bragg_radii_per_atom_ua`
* :c:data:`slater_bragg_radii_ua`
* :c:data:`slater_bragg_type_inter_distance`
* :c:data:`slater_bragg_type_inter_distance_ua`
2018-12-18 17:30:16 +01:00
* :c:data:`sorted_mini`
2018-11-26 13:45:32 +01:00
* :c:data:`state_average_weight`
* :c:data:`state_following`
* :c:data:`target_energy`
* :c:data:`theta_angular_integration_lebedev`
* :c:data:`three_anhil`
* :c:data:`three_creat`
2018-12-18 17:30:16 +01:00
* :c:data:`thresh_dressed_ci`
2018-11-26 13:45:32 +01:00
* :c:data:`thresh_scf`
* :c:data:`threshold_davidson`
* :c:data:`threshold_diis`
* :c:data:`threshold_diis_nonzero`
* :c:data:`threshold_generators`
* :c:data:`threshold_selectors`
* :c:data:`transpose`
* :c:data:`two_anhil`
* :c:data:`two_anhil_one_creat`
* :c:data:`two_creat`
* :c:data:`two_creat_one_anhil`
* :c:data:`unpaired_alpha_electrons`
* :c:data:`used_weight`
* :c:data:`var_pt2_ratio`
* :c:data:`virt_bitmask`
* :c:data:`virt_bitmask_4`
* :c:data:`weight_occ_pattern`
* :c:data:`weights_angular_integration_lebedev`
* :c:data:`write_ao_integrals`
* :c:data:`write_ao_one_integrals`
* :c:data:`write_mo_integrals`
* :c:data:`write_mo_one_integrals`
* :c:data:`zmq_context`
* :c:data:`zmq_lock`
* :c:data:`zmq_port_start`
* :c:data:`zmq_socket_pair_inproc_address`
* :c:data:`zmq_socket_pull_inproc_address`
* :c:data:`zmq_socket_pull_tcp_address`
* :c:data:`zmq_socket_push_inproc_address`
* :c:data:`zmq_socket_push_tcp_address`
* :c:data:`zmq_socket_sub_tcp_address`
* :c:data:`zmq_state`
Index of Subroutines/Functions
------------------------------
* :c:func:`a_coef`
* :c:func:`a_operator`
* :c:func:`ac_operator`
* :c:func:`add_integrals_to_map`
* :c:func:`add_integrals_to_map_no_exit_34`
* :c:func:`add_integrals_to_map_three_indices`
* :c:func:`add_poly`
* :c:func:`add_poly_multiply`
* :c:func:`add_task_to_taskserver`
* :c:func:`add_to_selection_buffer`
2018-12-18 17:30:16 +01:00
* :c:func:`alpha_callback`
* :c:func:`alpha_callback_mask`
2018-11-26 13:45:32 +01:00
* :c:func:`ao_bielec_integral`
* :c:func:`ao_bielec_integral_schwartz_accel`
* :c:func:`ao_bielec_integrals_in_map_collector`
* :c:func:`ao_bielec_integrals_in_map_slave`
* :c:func:`ao_bielec_integrals_in_map_slave_inproc`
* :c:func:`ao_bielec_integrals_in_map_slave_tcp`
* :c:func:`ao_l4`
* :c:func:`ao_ortho_cano_to_ao`
* :c:func:`ao_power_index`
* :c:func:`ao_to_mo`
* :c:func:`ao_value`
* :c:func:`apply_excitation`
* :c:func:`apply_hole`
2018-12-18 17:30:16 +01:00
* :c:func:`apply_hole_local`
2018-11-26 13:45:32 +01:00
* :c:func:`apply_holes`
* :c:func:`apply_mono`
* :c:func:`apply_particle`
2018-12-18 17:30:16 +01:00
* :c:func:`apply_particle_local`
2018-11-26 13:45:32 +01:00
* :c:func:`apply_particles`
* :c:func:`apply_rotation`
* :c:func:`approx_dble`
* :c:func:`au0_h_au0`
* :c:func:`b_coef`
* :c:func:`bielec_integrals_index`
* :c:func:`bielec_integrals_index_reverse`
* :c:func:`binom_func`
* :c:func:`bitstring_to_hexa`
* :c:func:`bitstring_to_list`
* :c:func:`bitstring_to_list_ab`
* :c:func:`bitstring_to_list_in_selection`
* :c:func:`bitstring_to_str`
* :c:func:`broadcast_chunks_bit_kind`
* :c:func:`broadcast_chunks_double`
* :c:func:`broadcast_chunks_integer`
* :c:func:`broadcast_chunks_integer8`
* :c:func:`build_fock_tmp`
2018-12-18 17:30:16 +01:00
* :c:func:`check_mem`
2018-11-26 13:45:32 +01:00
* :c:func:`cis`
* :c:func:`clear_ao_map`
* :c:func:`clear_bit_to_integer`
* :c:func:`clear_mo_map`
* :c:func:`compute_ao_bielec_integrals`
* :c:func:`compute_ao_integrals_jl`
* :c:func:`connect_to_taskserver`
* :c:func:`connected_to_ref`
* :c:func:`connected_to_ref_by_mono`
* :c:func:`contrib_1h2p_dm_based`
* :c:func:`contrib_2h1p_dm_based`
* :c:func:`copy_h_apply_buffer_to_wf`
* :c:func:`copy_psi_bilinear_to_psi`
* :c:func:`coulomb_value_no_check`
2018-12-18 17:30:16 +01:00
* :c:func:`count_d1`
* :c:func:`count_d2`
* :c:func:`count_pq`
2018-11-26 13:45:32 +01:00
* :c:func:`create_guess`
2018-12-18 17:30:16 +01:00
* :c:func:`create_indexes`
2018-11-26 13:45:32 +01:00
* :c:func:`create_microlist`
* :c:func:`create_minilist`
* :c:func:`create_minilist_find_previous`
* :c:func:`create_selection_buffer`
* :c:func:`create_wf_of_psi_bilinear_matrix`
* :c:func:`davidson_collector`
* :c:func:`davidson_converged`
* :c:func:`davidson_diag_hjj_sjj`
* :c:func:`davidson_diag_hs2`
* :c:func:`davidson_pull_results`
* :c:func:`davidson_push_results`
* :c:func:`davidson_run_slave`
* :c:func:`davidson_slave_inproc`
* :c:func:`davidson_slave_tcp`
* :c:func:`davidson_slave_work`
* :c:func:`dble_fact`
* :c:func:`dble_fact_even`
* :c:func:`dble_fact_odd`
* :c:func:`dble_logfact`
* :c:func:`ddfact2`
* :c:func:`debug_det`
* :c:func:`debug_spindet`
2018-12-18 17:30:16 +01:00
* :c:func:`dec_exc`
2018-11-26 13:45:32 +01:00
* :c:func:`decode_exc`
* :c:func:`decode_exc_spin`
* :c:func:`delete_selection_buffer`
* :c:func:`det_inf`
* :c:func:`det_search_key`
* :c:func:`detcmp`
* :c:func:`deteq`
* :c:func:`diag_h_mat_elem`
* :c:func:`diag_h_mat_elem_au0_h_au0`
* :c:func:`diag_h_mat_elem_fock`
* :c:func:`diag_h_mat_elem_no_elec_check`
* :c:func:`diag_h_mat_elem_no_elec_check_no_exchange`
* :c:func:`diag_s_mat_elem`
* :c:func:`diagonalize_ci`
2018-12-18 17:30:16 +01:00
* :c:func:`diagonalize_ci_dressed`
2018-11-26 13:45:32 +01:00
* :c:func:`disconnect_from_taskserver`
* :c:func:`disconnect_from_taskserver_state`
* :c:func:`do_mono_excitation`
2018-12-18 17:30:16 +01:00
* :c:func:`dress_collector`
* :c:func:`dress_find_sample`
* :c:func:`dress_slave`
* :c:func:`dress_slave_inproc`
* :c:func:`dress_slave_tcp`
* :c:func:`dress_with_alpha_buffer`
* :c:func:`dress_with_alpha_buffer_neu`
* :c:func:`dress_zmq`
2018-11-26 13:45:32 +01:00
* :c:func:`dset_order`
* :c:func:`dset_order_big`
* :c:func:`dsort`
* :c:func:`dump_ao_integrals`
* :c:func:`dump_mo_integrals`
* :c:func:`end_parallel_job`
* :c:func:`end_zmq_pair_socket`
* :c:func:`end_zmq_pull_socket`
* :c:func:`end_zmq_push_socket`
* :c:func:`end_zmq_sub_socket`
* :c:func:`end_zmq_to_qp_run_socket`
* :c:func:`erf0`
* :c:func:`eri`
2018-12-18 17:30:16 +01:00
* :c:func:`exc_inf`
* :c:func:`exccmp`
* :c:func:`exceq`
2018-11-26 13:45:32 +01:00
* :c:func:`extract_ref`
* :c:func:`extrapolate_data`
* :c:func:`f_integral`
* :c:func:`fact`
* :c:func:`fci_zmq`
* :c:func:`fcidump`
* :c:func:`fill_buffer_double`
* :c:func:`fill_h_apply_buffer_no_selection`
* :c:func:`filter_connected`
* :c:func:`filter_connected_i_h_psi0`
* :c:func:`filter_not_connected`
* :c:func:`find_connections_previous`
* :c:func:`find_rotation`
2018-12-18 17:30:16 +01:00
* :c:func:`find_triples_and_quadruples`
* :c:func:`find_triples_and_quadruples_micro`
2018-11-26 13:45:32 +01:00
* :c:func:`four_idx`
* :c:func:`gammln`
* :c:func:`gammp`
* :c:func:`gauleg`
* :c:func:`gaussian_product`
* :c:func:`gaussian_product_x`
* :c:func:`gcf`
* :c:func:`generate_all_alpha_beta_det_products`
2018-12-18 17:30:16 +01:00
* :c:func:`generate_singles_and_doubles`
* :c:func:`generator_start`
2018-11-26 13:45:32 +01:00
* :c:func:`get_all_spin_doubles`
* :c:func:`get_all_spin_doubles_1`
* :c:func:`get_all_spin_doubles_2`
* :c:func:`get_all_spin_doubles_3`
* :c:func:`get_all_spin_doubles_4`
* :c:func:`get_all_spin_doubles_n_int`
* :c:func:`get_all_spin_singles`
* :c:func:`get_all_spin_singles_1`
* :c:func:`get_all_spin_singles_2`
* :c:func:`get_all_spin_singles_3`
* :c:func:`get_all_spin_singles_4`
* :c:func:`get_all_spin_singles_and_doubles`
* :c:func:`get_all_spin_singles_and_doubles_1`
* :c:func:`get_all_spin_singles_and_doubles_2`
* :c:func:`get_all_spin_singles_and_doubles_3`
* :c:func:`get_all_spin_singles_and_doubles_4`
* :c:func:`get_all_spin_singles_and_doubles_n_int`
* :c:func:`get_all_spin_singles_n_int`
* :c:func:`get_ao_bielec_integral`
* :c:func:`get_ao_bielec_integrals`
* :c:func:`get_ao_bielec_integrals_non_zero`
* :c:func:`get_ao_map_size`
* :c:func:`get_d0`
* :c:func:`get_d1`
* :c:func:`get_d2`
* :c:func:`get_delta_e_dyall`
* :c:func:`get_delta_e_dyall_general_mp`
2018-12-18 17:30:16 +01:00
* :c:func:`get_dij`
* :c:func:`get_dij_index`
2018-11-26 13:45:32 +01:00
* :c:func:`get_double_excitation`
* :c:func:`get_double_excitation_spin`
* :c:func:`get_excitation`
* :c:func:`get_excitation_degree`
* :c:func:`get_excitation_degree_spin`
* :c:func:`get_excitation_degree_vector`
* :c:func:`get_excitation_degree_vector_double_alpha_beta`
* :c:func:`get_excitation_degree_vector_mono`
* :c:func:`get_excitation_degree_vector_mono_or_exchange`
* :c:func:`get_excitation_degree_vector_mono_or_exchange_verbose`
* :c:func:`get_excitation_spin`
* :c:func:`get_index_in_psi_det_alpha_unique`
* :c:func:`get_index_in_psi_det_beta_unique`
* :c:func:`get_index_in_psi_det_sorted_bit`
* :c:func:`get_index_in_psi_ref_sorted_bit`
* :c:func:`get_inverse`
* :c:func:`get_m0`
* :c:func:`get_m1`
* :c:func:`get_m2`
* :c:func:`get_mask_phase`
* :c:func:`get_mo_bielec_integral`
* :c:func:`get_mo_bielec_integrals`
* :c:func:`get_mo_bielec_integrals_coulomb_ii`
* :c:func:`get_mo_bielec_integrals_exch_ii`
* :c:func:`get_mo_bielec_integrals_ij`
* :c:func:`get_mo_map_size`
* :c:func:`get_mono_excitation`
* :c:func:`get_mono_excitation_from_fock`
* :c:func:`get_mono_excitation_spin`
* :c:func:`get_phase`
* :c:func:`get_phase_bi`
* :c:func:`get_phasemask_bit`
* :c:func:`get_pseudo_inverse`
* :c:func:`get_s2`
* :c:func:`get_task_from_taskserver`
* :c:func:`get_tasks_from_taskserver`
* :c:func:`get_uj_s2_ui`
* :c:func:`getmobiles`
* :c:func:`getunitandopen`
* :c:func:`give_1h1p_contrib`
* :c:func:`give_1h1p_only_doubles_spin_cross`
* :c:func:`give_1h1p_sec_order_singles_contrib`
* :c:func:`give_1h2p_contrib`
* :c:func:`give_1h2p_contrib_sec_order`
* :c:func:`give_1h2p_new`
* :c:func:`give_1p_sec_order_singles_contrib`
* :c:func:`give_2h1p_contrib`
* :c:func:`give_2h1p_contrib_sec_order`
* :c:func:`give_2h1p_new`
* :c:func:`give_2h2p`
* :c:func:`give_2p_new`
* :c:func:`give_active_part_determinant`
* :c:func:`give_all_aos_at_r`
* :c:func:`give_core_inactive_part_determinant`
* :c:func:`give_explicit_poly_and_gaussian`
* :c:func:`give_explicit_poly_and_gaussian_double`
* :c:func:`give_explicit_poly_and_gaussian_x`
* :c:func:`give_holes_in_inactive_space`
* :c:func:`give_particles_in_virt_space`
* :c:func:`give_polynom_mult_center_mono_elec`
* :c:func:`give_polynom_mult_center_x`
* :c:func:`give_singles_and_partial_doubles_1h1p_contrib`
* :c:func:`give_virt_part_determinant`
* :c:func:`gser`
* :c:func:`h_apply_cis`
* :c:func:`h_apply_cis_diexc`
* :c:func:`h_apply_cis_diexcorg`
* :c:func:`h_apply_cis_diexcp`
* :c:func:`h_apply_cis_monoexc`
* :c:func:`h_apply_cisd`
* :c:func:`h_apply_cisd_diexc`
* :c:func:`h_apply_cisd_diexcorg`
* :c:func:`h_apply_cisd_diexcp`
* :c:func:`h_apply_cisd_monoexc`
2018-12-18 17:30:16 +01:00
* :c:func:`h_apply_mrcc`
* :c:func:`h_apply_mrcc_diexc`
* :c:func:`h_apply_mrcc_diexcorg`
* :c:func:`h_apply_mrcc_diexcp`
* :c:func:`h_apply_mrcc_monoexc`
* :c:func:`h_apply_mrcc_pt2`
* :c:func:`h_apply_mrcc_pt2_diexc`
* :c:func:`h_apply_mrcc_pt2_diexcorg`
* :c:func:`h_apply_mrcc_pt2_diexcp`
* :c:func:`h_apply_mrcc_pt2_monoexc`
* :c:func:`h_apply_mrcepa_pt2`
* :c:func:`h_apply_mrcepa_pt2_diexc`
* :c:func:`h_apply_mrcepa_pt2_diexcorg`
* :c:func:`h_apply_mrcepa_pt2_diexcp`
* :c:func:`h_apply_mrcepa_pt2_monoexc`
2018-11-26 13:45:32 +01:00
* :c:func:`h_apply_mrpt`
* :c:func:`h_apply_mrpt_1h`
* :c:func:`h_apply_mrpt_1h1p`
* :c:func:`h_apply_mrpt_1h1p_diexc`
* :c:func:`h_apply_mrpt_1h1p_diexcorg`
* :c:func:`h_apply_mrpt_1h1p_diexcp`
* :c:func:`h_apply_mrpt_1h1p_monoexc`
* :c:func:`h_apply_mrpt_1h2p`
* :c:func:`h_apply_mrpt_1h2p_diexc`
* :c:func:`h_apply_mrpt_1h2p_diexcorg`
* :c:func:`h_apply_mrpt_1h2p_diexcp`
* :c:func:`h_apply_mrpt_1h2p_monoexc`
* :c:func:`h_apply_mrpt_1h_diexc`
* :c:func:`h_apply_mrpt_1h_diexcorg`
* :c:func:`h_apply_mrpt_1h_diexcp`
* :c:func:`h_apply_mrpt_1h_monoexc`
* :c:func:`h_apply_mrpt_1p`
* :c:func:`h_apply_mrpt_1p_diexc`
* :c:func:`h_apply_mrpt_1p_diexcorg`
* :c:func:`h_apply_mrpt_1p_diexcp`
* :c:func:`h_apply_mrpt_1p_monoexc`
* :c:func:`h_apply_mrpt_2h`
* :c:func:`h_apply_mrpt_2h1p`
* :c:func:`h_apply_mrpt_2h1p_diexc`
* :c:func:`h_apply_mrpt_2h1p_diexcorg`
* :c:func:`h_apply_mrpt_2h1p_diexcp`
* :c:func:`h_apply_mrpt_2h1p_monoexc`
* :c:func:`h_apply_mrpt_2h2p`
* :c:func:`h_apply_mrpt_2h2p_diexc`
* :c:func:`h_apply_mrpt_2h2p_diexcorg`
* :c:func:`h_apply_mrpt_2h2p_diexcp`
* :c:func:`h_apply_mrpt_2h2p_monoexc`
* :c:func:`h_apply_mrpt_2h_diexc`
* :c:func:`h_apply_mrpt_2h_diexcorg`
* :c:func:`h_apply_mrpt_2h_diexcp`
* :c:func:`h_apply_mrpt_2h_monoexc`
* :c:func:`h_apply_mrpt_2p`
* :c:func:`h_apply_mrpt_2p_diexc`
* :c:func:`h_apply_mrpt_2p_diexcorg`
* :c:func:`h_apply_mrpt_2p_diexcp`
* :c:func:`h_apply_mrpt_2p_monoexc`
* :c:func:`h_apply_mrpt_diexc`
* :c:func:`h_apply_mrpt_diexcorg`
* :c:func:`h_apply_mrpt_diexcp`
* :c:func:`h_apply_mrpt_monoexc`
* :c:func:`h_s2_u_0_nstates_openmp`
* :c:func:`h_s2_u_0_nstates_openmp_work`
* :c:func:`h_s2_u_0_nstates_openmp_work_1`
* :c:func:`h_s2_u_0_nstates_openmp_work_2`
* :c:func:`h_s2_u_0_nstates_openmp_work_3`
* :c:func:`h_s2_u_0_nstates_openmp_work_4`
* :c:func:`h_s2_u_0_nstates_openmp_work_n_int`
* :c:func:`h_s2_u_0_nstates_zmq`
* :c:func:`hcore_guess`
* :c:func:`heap_dsort`
* :c:func:`heap_dsort_big`
* :c:func:`heap_i2sort`
* :c:func:`heap_i2sort_big`
* :c:func:`heap_i8sort`
* :c:func:`heap_i8sort_big`
* :c:func:`heap_isort`
* :c:func:`heap_isort_big`
* :c:func:`heap_sort`
* :c:func:`heap_sort_big`
* :c:func:`hermite`
* :c:func:`huckel_guess`
* :c:func:`i2set_order`
* :c:func:`i2set_order_big`
* :c:func:`i2sort`
* :c:func:`i8set_order`
* :c:func:`i8set_order_big`
* :c:func:`i8sort`
* :c:func:`i_h_j`
* :c:func:`i_h_j_double_alpha_beta`
* :c:func:`i_h_j_double_spin`
* :c:func:`i_h_j_dyall`
* :c:func:`i_h_j_dyall_no_exchange`
* :c:func:`i_h_j_mono_spin`
* :c:func:`i_h_j_s2`
* :c:func:`i_h_j_verbose`
* :c:func:`i_h_psi`
* :c:func:`i_h_psi_minilist`
* :c:func:`i_h_psi_pert_new_minilist`
* :c:func:`i_s2_psi_minilist`
* :c:func:`i_x1_pol_mult`
* :c:func:`initialize_bitmask_to_restart_ones`
* :c:func:`insert_into_ao_integrals_map`
* :c:func:`insertion_dsort`
* :c:func:`insertion_dsort_big`
* :c:func:`insertion_i2sort`
* :c:func:`insertion_i2sort_big`
* :c:func:`insertion_i8sort`
* :c:func:`insertion_i8sort_big`
* :c:func:`insertion_isort`
* :c:func:`insertion_isort_big`
* :c:func:`insertion_sort`
* :c:func:`insertion_sort_big`
* :c:func:`int_gaus_pol`
* :c:func:`integrale_new`
* :c:func:`is_a_1h`
* :c:func:`is_a_1h1p`
* :c:func:`is_a_1h2p`
* :c:func:`is_a_1p`
* :c:func:`is_a_2h`
* :c:func:`is_a_2h1p`
* :c:func:`is_a_2p`
* :c:func:`is_a_two_holes_two_particles`
* :c:func:`is_connected_to`
* :c:func:`is_connected_to_by_mono`
2018-12-18 17:30:16 +01:00
* :c:func:`is_generable`
2018-11-26 13:45:32 +01:00
* :c:func:`is_generable_cassd`
* :c:func:`is_i_in_virtual`
* :c:func:`is_in_psi_ref`
* :c:func:`is_in_wavefunction`
* :c:func:`is_spin_flip_possible`
* :c:func:`is_the_hole_in_det`
* :c:func:`is_the_particl_in_det`
* :c:func:`iset_order`
* :c:func:`iset_order_big`
* :c:func:`isort`
* :c:func:`lapack_diag`
* :c:func:`lapack_diagd`
* :c:func:`list_to_bitstring`
* :c:func:`load_ao_integrals`
* :c:func:`load_mo_integrals`
* :c:func:`logfact`
* :c:func:`lowercase`
* :c:func:`make_s2_eigenfunction`
* :c:func:`make_selection_buffer_s2`
* :c:func:`map_load_from_disk`
* :c:func:`map_save_to_disk`
2018-12-18 17:30:16 +01:00
* :c:func:`memory_of_double`
* :c:func:`memory_of_int`
2018-11-26 13:45:32 +01:00
* :c:func:`merge_selection_buffers`
* :c:func:`mix_mo_jk`
* :c:func:`mo_as_eigvectors_of_mo_matrix`
* :c:func:`mo_as_svd_vectors_of_mo_matrix`
* :c:func:`mo_as_svd_vectors_of_mo_matrix_eig`
* :c:func:`mo_bielec_integral`
* :c:func:`mo_bielec_integrals_index`
* :c:func:`mo_to_ao`
* :c:func:`modify_bitmasks_for_hole`
* :c:func:`modify_bitmasks_for_hole_in_out`
* :c:func:`modify_bitmasks_for_particl`
2018-12-18 17:30:16 +01:00
* :c:func:`mpi_print`
* :c:func:`mrcc_dress`
2018-11-26 13:45:32 +01:00
* :c:func:`mrpt_dress`
* :c:func:`multiply_poly`
* :c:func:`n_pt_sup`
* :c:func:`nai_pol_mult`
* :c:func:`new_parallel_job`
* :c:func:`new_zmq_pair_socket`
* :c:func:`new_zmq_pull_socket`
* :c:func:`new_zmq_push_socket`
* :c:func:`new_zmq_sub_socket`
* :c:func:`new_zmq_to_qp_run_socket`
* :c:func:`normalize`
* :c:func:`number_of_holes`
* :c:func:`number_of_holes_verbose`
* :c:func:`number_of_particles`
* :c:func:`number_of_particles_verbose`
* :c:func:`occ_pattern_of_det`
* :c:func:`occ_pattern_search_key`
* :c:func:`occ_pattern_to_dets`
* :c:func:`occ_pattern_to_dets_size`
* :c:func:`ortho_canonical`
* :c:func:`ortho_lowdin`
* :c:func:`ortho_qr`
* :c:func:`ortho_qr_unblocked`
* :c:func:`orthonormalize_mos`
* :c:func:`overlap_bourrin_deriv_x`
* :c:func:`overlap_bourrin_dipole`
* :c:func:`overlap_bourrin_spread`
* :c:func:`overlap_bourrin_x`
* :c:func:`overlap_gaussian_x`
* :c:func:`overlap_x_abs`
* :c:func:`past_d1`
* :c:func:`past_d2`
* :c:func:`perturb_buffer_by_mono_decontracted`
* :c:func:`perturb_buffer_by_mono_dummy`
* :c:func:`perturb_buffer_by_mono_epstein_nesbet`
* :c:func:`perturb_buffer_by_mono_epstein_nesbet_2x2`
* :c:func:`perturb_buffer_by_mono_epstein_nesbet_2x2_no_ci_diag`
* :c:func:`perturb_buffer_by_mono_h_core`
* :c:func:`perturb_buffer_by_mono_moller_plesset`
* :c:func:`perturb_buffer_by_mono_moller_plesset_general`
* :c:func:`perturb_buffer_by_mono_qdpt`
* :c:func:`perturb_buffer_decontracted`
* :c:func:`perturb_buffer_dummy`
* :c:func:`perturb_buffer_epstein_nesbet`
* :c:func:`perturb_buffer_epstein_nesbet_2x2`
* :c:func:`perturb_buffer_epstein_nesbet_2x2_no_ci_diag`
* :c:func:`perturb_buffer_h_core`
* :c:func:`perturb_buffer_moller_plesset`
* :c:func:`perturb_buffer_moller_plesset_general`
* :c:func:`perturb_buffer_qdpt`
* :c:func:`print_det`
* :c:func:`print_extrapolated_energy`
* :c:func:`print_generators_bitmasks_holes`
* :c:func:`print_generators_bitmasks_holes_for_one_generator`
* :c:func:`print_generators_bitmasks_particles`
* :c:func:`print_generators_bitmasks_particles_for_one_generator`
2018-12-18 17:30:16 +01:00
* :c:func:`print_memory_usage`
2018-11-26 13:45:32 +01:00
* :c:func:`print_mos`
* :c:func:`print_spindet`
* :c:func:`print_summary`
2018-12-18 17:30:16 +01:00
* :c:func:`provide_all`
2018-11-26 13:45:32 +01:00
* :c:func:`provide_everything`
* :c:func:`pt2_collector`
* :c:func:`pt2_decontracted`
* :c:func:`pt2_dummy`
* :c:func:`pt2_epstein_nesbet`
* :c:func:`pt2_epstein_nesbet_2x2`
* :c:func:`pt2_epstein_nesbet_2x2_no_ci_diag`
* :c:func:`pt2_find_sample`
* :c:func:`pt2_find_sample_lr`
* :c:func:`pt2_h_core`
* :c:func:`pt2_moller_plesset`
* :c:func:`pt2_moller_plesset_general`
* :c:func:`pt2_qdpt`
* :c:func:`pt2_slave_inproc`
* :c:func:`pt2_stoch`
2018-12-18 17:30:16 +01:00
* :c:func:`pull_dress_results`
2018-11-26 13:45:32 +01:00
* :c:func:`pull_pt2`
* :c:func:`pull_pt2_results`
* :c:func:`pull_selection_results`
2018-12-18 17:30:16 +01:00
* :c:func:`push_dress_results`
2018-11-26 13:45:32 +01:00
* :c:func:`push_integrals`
* :c:func:`push_pt2`
* :c:func:`push_pt2_results`
* :c:func:`push_selection_results`
* :c:func:`qp_ao_ints`
* :c:func:`quick_dsort`
* :c:func:`quick_i2sort`
* :c:func:`quick_i8sort`
* :c:func:`quick_isort`
* :c:func:`quick_sort`
* :c:func:`read_dets`
* :c:func:`recentered_poly2`
* :c:func:`remove_duplicates_in_psi_det`
* :c:func:`remove_small_contributions`
* :c:func:`repeat_all_e_corr`
* :c:func:`reset_zmq_addresses`
2018-12-18 17:30:16 +01:00
* :c:func:`resident_memory`
2018-11-26 13:45:32 +01:00
* :c:func:`resize_h_apply_buffer`
* :c:func:`rint`
* :c:func:`rint1`
* :c:func:`rint_large_n`
* :c:func:`rint_sum`
* :c:func:`rinteg`
* :c:func:`rintgauss`
* :c:func:`roothaan_hall_scf`
* :c:func:`run`
2018-12-18 17:30:16 +01:00
* :c:func:`run_dress_slave`
* :c:func:`run_dressing`
* :c:func:`run_pt2`
2018-11-26 13:45:32 +01:00
* :c:func:`run_pt2_slave`
* :c:func:`run_selection_slave`
2018-12-18 17:30:16 +01:00
* :c:func:`run_w`
2018-11-26 13:45:32 +01:00
* :c:func:`run_wf`
* :c:func:`s2_u_0`
* :c:func:`s2_u_0_nstates`
* :c:func:`sabpartial`
* :c:func:`save_iterations`
* :c:func:`save_mos`
* :c:func:`save_mos_truncated`
* :c:func:`save_natorb`
* :c:func:`save_natural_mos`
* :c:func:`save_ortho_mos`
* :c:func:`save_ref_determinant`
* :c:func:`save_wavefunction`
* :c:func:`save_wavefunction_general`
* :c:func:`save_wavefunction_specified`
* :c:func:`save_wavefunction_truncated`
* :c:func:`save_wavefunction_unsorted`
* :c:func:`scf`
2018-12-18 17:30:16 +01:00
* :c:func:`searchdet`
* :c:func:`searchexc`
2018-11-26 13:45:32 +01:00
* :c:func:`select_connected`
* :c:func:`select_singles_and_doubles`
* :c:func:`selection_collector`
* :c:func:`selection_slave_inproc`
* :c:func:`set_bit_to_integer`
* :c:func:`set_bitmask_hole_as_input`
* :c:func:`set_bitmask_particl_as_input`
* :c:func:`set_generators_bitmasks_as_holes_and_particles`
* :c:func:`set_natural_mos`
* :c:func:`set_order`
* :c:func:`set_order_big`
2018-12-18 17:30:16 +01:00
* :c:func:`shifted_bk`
* :c:func:`shifted_bk_slave`
2018-11-26 13:45:32 +01:00
* :c:func:`slave`
* :c:func:`sort`
2018-12-18 17:30:16 +01:00
* :c:func:`sort_det`
2018-11-26 13:45:32 +01:00
* :c:func:`sort_dets_ab`
* :c:func:`sort_dets_ab_v`
* :c:func:`sort_dets_ba_v`
* :c:func:`sort_dets_by_det_search_key`
2018-12-18 17:30:16 +01:00
* :c:func:`sort_exc`
2018-11-26 13:45:32 +01:00
* :c:func:`sort_selection_buffer`
* :c:func:`sorted_dnumber`
* :c:func:`sorted_i2number`
* :c:func:`sorted_i8number`
* :c:func:`sorted_inumber`
* :c:func:`sorted_number`
* :c:func:`spin_det_search_key`
* :c:func:`splash_pq`
* :c:func:`spot_isinwf`
* :c:func:`svd`
* :c:func:`switch_qp_run_to_master`
2018-12-18 17:30:16 +01:00
* :c:func:`tamise_exc`
2018-11-26 13:45:32 +01:00
* :c:func:`tamiser`
* :c:func:`task_done_to_taskserver`
* :c:func:`tasks_done_to_taskserver`
* :c:func:`testteethbuilding`
2018-12-18 17:30:16 +01:00
* :c:func:`total_memory`
2018-11-26 13:45:32 +01:00
* :c:func:`u0_h_dyall_u0`
* :c:func:`u0_h_dyall_u0_no_exchange`
* :c:func:`u_0_h_u_0`
* :c:func:`u_0_s2_u_0`
* :c:func:`u_dot_u`
* :c:func:`u_dot_v`
2018-12-18 17:30:16 +01:00
* :c:func:`unsortedsearchdet`
2018-11-26 13:45:32 +01:00
* :c:func:`v_e_n`
* :c:func:`v_phi`
* :c:func:`v_r`
* :c:func:`v_theta`
* :c:func:`wait_for_next_state`
* :c:func:`wait_for_state`
* :c:func:`wait_for_states`
* :c:func:`wall_time`
* :c:func:`wallis`
* :c:func:`wf_of_psi_bilinear_matrix`
* :c:func:`write_ao_basis`
* :c:func:`write_bool`
* :c:func:`write_double`
* :c:func:`write_geometry`
* :c:func:`write_git_log`
* :c:func:`write_int`
* :c:func:`write_intro_gamess`
* :c:func:`write_mo_basis`
* :c:func:`write_spindeterminants`
* :c:func:`write_time`
* :c:func:`zmq_abort`
* :c:func:`zmq_delete_task`
* :c:func:`zmq_delete_tasks`
* :c:func:`zmq_delete_tasks_async_recv`
* :c:func:`zmq_delete_tasks_async_send`
2018-12-18 17:30:16 +01:00
* :c:func:`zmq_dress`
2018-11-26 13:45:32 +01:00
* :c:func:`zmq_get8_dvector`
* :c:func:`zmq_get8_ivector`
* :c:func:`zmq_get_dmatrix`
* :c:func:`zmq_get_dvector`
* :c:func:`zmq_get_i8matrix`
* :c:func:`zmq_get_imatrix`
* :c:func:`zmq_get_int`
* :c:func:`zmq_get_int_nompi`
* :c:func:`zmq_get_ivector`
* :c:func:`zmq_get_n_det`
* :c:func:`zmq_get_n_det_alpha_unique`
* :c:func:`zmq_get_n_det_beta_unique`
* :c:func:`zmq_get_n_det_generators`
* :c:func:`zmq_get_n_det_selectors`
* :c:func:`zmq_get_n_states`
* :c:func:`zmq_get_n_states_diag`
* :c:func:`zmq_get_psi`
* :c:func:`zmq_get_psi_bilinear`
* :c:func:`zmq_get_psi_bilinear_matrix_columns`
* :c:func:`zmq_get_psi_bilinear_matrix_order`
* :c:func:`zmq_get_psi_bilinear_matrix_rows`
* :c:func:`zmq_get_psi_bilinear_matrix_values`
* :c:func:`zmq_get_psi_coef`
* :c:func:`zmq_get_psi_det`
* :c:func:`zmq_get_psi_det_alpha_unique`
* :c:func:`zmq_get_psi_det_beta_unique`
* :c:func:`zmq_get_psi_det_size`
* :c:func:`zmq_get_psi_notouch`
* :c:func:`zmq_port`
* :c:func:`zmq_pt2`
* :c:func:`zmq_put8_dvector`
* :c:func:`zmq_put8_ivector`
* :c:func:`zmq_put_dmatrix`
* :c:func:`zmq_put_dvector`
* :c:func:`zmq_put_i8matrix`
* :c:func:`zmq_put_imatrix`
* :c:func:`zmq_put_int`
* :c:func:`zmq_put_ivector`
* :c:func:`zmq_put_n_det`
* :c:func:`zmq_put_n_det_alpha_unique`
* :c:func:`zmq_put_n_det_beta_unique`
* :c:func:`zmq_put_n_det_generators`
* :c:func:`zmq_put_n_det_selectors`
* :c:func:`zmq_put_n_states`
* :c:func:`zmq_put_n_states_diag`
* :c:func:`zmq_put_psi`
* :c:func:`zmq_put_psi_bilinear`
* :c:func:`zmq_put_psi_bilinear_matrix_columns`
* :c:func:`zmq_put_psi_bilinear_matrix_order`
* :c:func:`zmq_put_psi_bilinear_matrix_rows`
* :c:func:`zmq_put_psi_bilinear_matrix_values`
* :c:func:`zmq_put_psi_coef`
* :c:func:`zmq_put_psi_det`
* :c:func:`zmq_put_psi_det_alpha_unique`
* :c:func:`zmq_put_psi_det_beta_unique`
* :c:func:`zmq_put_psi_det_size`
* :c:func:`zmq_selection`
* :c:func:`zmq_set_running`