10
0
mirror of https://github.com/LCPQ/quantum_package synced 2024-07-17 08:30:31 +02:00
quantum_package/src/Davidson/diagonalize_restart_and_save_all_states.irp.f

17 lines
279 B
Fortran
Raw Normal View History

2016-07-16 16:09:50 +02:00
program diag_and_save
implicit none
read_wf = .True.
touch read_wf
call routine
end
subroutine routine
implicit none
call diagonalize_CI
print*,'N_det = ',N_det
2017-03-20 16:21:00 +01:00
call save_wavefunction_general(N_det,N_states,psi_det_sorted,size(psi_coef_sorted,1),psi_coef_sorted)
2016-07-16 16:09:50 +02:00
end